1983 – HP Journal Index

January 1983 v.34 n.1

Cover: HP-IL (Hewlett Packard Interface Loop)

HP-IL: A Low-Cost Digital Interface for Portable Applications. The Hewlett-Packard Interface Loop is a bit-serial interface bringing many capabilities formerly reserved for much larger computer systems to the growing repertoire of portable computers and handheld calculators, by Roger D. Quick, Steven L. Harper, pg 3-10

How Fast is the HP-IL? by Steve Harper, pg 7

HP-IL Interconnect System. Clever plugs and connectors and inexpensive two-wire cords connect HP-IL devices, by James H. Fleming, pg 8

[Authors:] Steven [Steve] L. Harper, Roger D. Quick, pg 9

The Electronics Interface for the Hewlett-Packard Interface Loop. This low-cost, low-power, serial interface uses two-wire cables, a three-level code, a CMOS IC, and small pulse transformers, by Carl J. Landsness, pg 11-16. HP-IL, 82160A.

[Author:] Carl J. Landsness, pg 16

A CMOS Integrated Circuit for the HP-IL Interface. This IC, available to OEM designers, makes it easy to add HP-IL capability to a product, by Steven L. Harper, pg 16-22

CMOSC: Low-Power Technology for Personal Computers. To meet the growing need for integrated circuits with more functions and lower power consumption, an improved CMOS process has been developed at HP’s Corvallis Division, by Craig S. Lage, Norman L. Johnson, David E. Hackleman, John J. Vietor, Robert L. Tillman, pg 23-29

What is a Latch-Up? pg 28

[Authors:] Norman [Norm] L. Johnson, David E. Hackleman, John J. Vietor, Robert [Bob] L. Tillman, Craig S. Lage, pg 29

Advanced Oven Design Assures Repeatability in New Gas Chromatograph. An innovative oven design gives the chromatographer access to the full capabilities of the new fused silica capillary columns, by Douglas H. Smith, Paul C. Dryden, Horace R. Johnson, Jr., pg 30-34. 5790A.

What is Gas Chromatography? Here’s some basic information for nonchemists, by Fred W. Rowland, pg 32-33

[Author:] Fred W. Rowland, pg 33

[Authors:] Douglas [Doug] H. Smith, Horace R. Johnson, Jr., Paul C. Dryden, pg 34

Electronic Flow Control: A New Level of Automation for Gas Chromatography. An innovative gas flow controller for the HP 5880A Gas Chromatograph offers a choice of pressure or mass flow control without instrument modification and independent of the gas measured, by Michael A. Casale, Andrew J. Murphy, J. Edwin Cusack, Kurt B. Augenblick, pg 35-40

Producing the Electronic Flow Controller, pg 38

[Authors:] Kurt B. Augenblick, J. Edwin [Ed] Cusack, Michael [Mike] A. Cassale, Andrew [Andy] J. Murphy, pg 40

February 1983 v.34 n.2

Cover: 3421A Data Acquisition/Control Unit

A Portable, Low-Cost, High-Performance Digital Multimeter for the HP-IL. HP’s first HP-IL instrument is the result of new design and manufacturing approaches. This DMM electronically calibrates itself, measures ac and dc voltages and currents, makes four-wire and two-wire resistance measurements, and uses a liquid-crystal display to output data measurement units, and alphanumeric messages, by Jack P. Trautman, Lawrence A. DesJardin, pg 3-10. 3468A.

HP-IB Version of 3468A, pg 5. 3478A.

The Philosophy Behind the Design, pg 8-9. 3468A.

[Authors:] Lawrence [Larry] A. DesJardin, Jack P. Trautman, pg 10

Low-Cost and Portability Come to Data Acquisition/Control Products. Inexpensive, portable data logging with the flexibility of a data acquisition/control system is now within the budget of nearly everyone making transducer measurements, by James J. Ressmeyer, pg 10-16. 3421A.

Data Acquisition and Control Software for the 3421A Using the HP-85 Computer. This system provides easy-to-use data logging capability at low cost, by David F. Leonard, pg 13-14

[Author:] David [Dave] F. Leonard, pg 14

[Author:] James [Jim] J. Ressmeyer, pg 16

Low-Cost Instrument Control: A New ROM for the HP-41 Handheld Computers. Now HP-41 users can control instruments to measure and analyze a variety of physical parameters on the bench or in the field, by David L. Wolpert, pg 16-19. 3468A, 44468A.

[Author:] David [Dave] L. Wolpert, pg 19

Electronic Mail for the Interactive Office. Here’s how electronic mail is implemented on the HP 3000 Computer System. HPMAIL lets users who aren’t familiar with computer technology exchange messages effectively within their organization, by Ian J. Fuller, pg 20-29

[Author:] Ian J. Fuller, pg 29

Integrated Tools Improve Programmer Productivity. This software subsystem for the HP 3000 Computer System saves program development time by giving the programmer access to several utilities through a single command interpreter, by Anil K. Shenoy, Carolyn M. Bircher, pg 30-36. HPToolset.

[Author:] Carolyn M. Bircher, Anil K. Shenoy, pg 36

March 1983 v.34 n.3

Cover: HP 64000 Logic Development System

Extensive Logic Development and Support Capability in One Convenient System. HP’s 64000 Logic Development Systems gets closer to the concept of an “electronic bench”. Real-time emulation, configuration flexibility, and integrated analysis functions are some features of this latest version of the 64000 System, by Michael W. Davis, John A. Scharrer, Robert G. Wickliff, Jr., pg 3-10

HP 64000 Terminal Software. Now a logic development station can exchange data and programs with large computers, by Paul D. Bame, pg 6

[Author:] Paul D. Bame, pg 6

The HP 64000 Measurement System. This software package can control and monitor any measurement operation in a 64000 System, by Kipper K. Fulghum, pg 8-9

[Author:] Kipper [Kip] K. Fulghum, pg 9

[Authors:] John A. Scharrer, Robert [Bob] G. Wickliff, Jr., Michael [Mike] W. Davis, pg 10

Mainframe Design for an Integrated Engineering Workstation. You can take the new 64110A Station with you to solve field problems. Both 64000 System stations now have dual flexible disc drives, by Alan J. DeVilbiss, Jeffrey H. Smith, Carlton E. Glitzke, pg 11-15

[Authors:] Carlton [Carl] E. Glitzke, Alan [Al] J. DeVilbiss, Jeffrey [Jeff] H. Smith, pg 15

A Modular Analyzer for Software Analysis in the 64000 System. Measuring software performance and tracing program flow is much easier with this new option, by Stan W. Bowlin, Steven R. Williams, Richard A. Nygaard, Jr., Frederick J. Palmer, Bryce S. Goodwin, Jr., pg 16-23. 64620S.

Range Detection in the 64620S State Analyzer, pg 18-19

Inverse Assembly for a General-Purpose Logic Analyzer, pg 21

[Authors:] Stan W. Bowlin, Frederick [Rick] J. Palmer, Richard [Rick] A. Nygaard, Jr., Bryce S. Goodwin, pg 22

[Author:] Steven [Steve] R. Williams, pg 23

A Modular Logic Timing Analyzer for the 64000 System. A dual-threshold mode, glitch detection, and a variety of triggering functions are some of this option’s features for the digital hardware designer, by Joel A. Zellmer, David L. Neuder, John E. Hanna, pg 23-30

[Authors:] David [Dave] L. Neuder, John [Ted] E. Hanna, Joel A. Zellmer, pg 30

Emulators for 16-bit Microprocessors. HP’s second-generation of emulators provides design support for a variety of new 16-bit devices, by John P. Romano, David B. Richey, pg 31-38

[Authors:] John P. Romano, David [Dave] B. Richey, pg 37

High-Level Language Compilers for Developing Microprocessor Systems. Choosing the right structure has important benefits for the user, by Joel D. Tesler, Martin W. Smith, pg 38-40

[Authors:] Joel D. Tesler, Martin [Marty] W. Smith, pg 40

April 1983 v.34 n.4

Cover: Laser Measurement System

A New Microcomputer-Controlled Laser Dimensional Measurement and Analysis System. Microcomputer control simplifies machine tool calibration. Other applications are in research and development, general-purpose metrology, and surface plate calibration, by Robert C. Quenelle, Lawrence J. Wuerz, pg 3-13. LMS, 5528A.

Dimensional Metrology Software Eases Calibration. An HP-85 Computer automates complex laser calibrations to save time and reduce errors, by Christopher Burns, Lawrence J. Wuerz, pg 4-5

Verifying the Laser Accuracy Specification, by Robert C. Quenelle, pg 8

Nonlinearity in Interferometer Measurements, by Robert C. Quenelle, pg 10

Automatic Compensation. Sensors help the laser measurement system compensate for atmospheric conditions and material temperature, by Deane A. Gardner, pg 12

[Authors:] Christopher [Chris] Burns, Deane A. Gardner, Lawrence [Larry] J. Wuerz, Robert [Bob] C. Quenelle, pg 13

Laser Optical Components for Machine Tool and Other Calibrations. Each optical component is designed to measure a particular degree of freedom of a machine tool, by Larry E. Truhe, David C. Woodruff, Richard R. Baldwin, pg 14-22. 5528A.

Manufacturing the Laser Tube. Custom-designed machines automate the process to control quality at every step, by Richard H. Grote, pg 17-18

[Author:] Richard [Dick] H. Grote, pg 18

Mechanical Design Features of the Laser Head. Low manufacturing cost and ease of repair are designed in, by Charles R. Steinmetz, pg 19-20

[Author:] Charles [Charlie] R. Steinmetz, pg 20

[Authors:] Larry E. Truhe, Richard [Dick] R. Baldwin, David [Dave] C. Woodruff, pg 22

Noise Figure Meter Sets Records for Accuracy, Repeatability, and Convenience. Noise figure measurements used to be mysterious, time consuming, difficult, and not very accurate. This instrument makes them quick, accurate and easy, by Howard L. Swain, Rick M. Cox, pg 23-34. 8970A.

A Noise Source for Noise Figure Measurements, by Donald R. Chambers, pg 26-27

Verifying the 8970A’s Accuracy in Production, by Harry Bunting, pg 28

Appendix: Noise Figure Basics, pg 33-34

[Authors:] Rick M. Cox, Howard L. Swain, pg 34

Laboratory Notebook: Mass Storage Unit Exerciser. The problem was how to provide built-in diagnostics for a flexible disc drive that didn’t come with them, by Jin-ichi Ikemoto, pg 35-36. 4145A.

May 1983 v.34 n.5

Cover: HP 8673A Synthesized Signal Generator

2-to-26.5-GHz Synthesized Signal Generator Has Internally Leveled Pulse Modulation. This second-generation instrument features microprocessor control, sophisticated sweep capabilities, programmability, and enhanced serviceability, by William W. Heinz, Paul A. Zander, pg 3-9. 8673A.

Sample-and-Hold Leveling System. A logarithmic amplifier in the feedback loop reduces the effects of loop-gain variations, by Ronald K. Larson, pg 7. 8673A.

[Authors:] William [Bill] W. Heinz, Paul A. Zander, pg 9

A Wideband YIG-Tuned Multiplier and Pulsed Signal Generation System. This system enhances output power and frequency range and reduces pulse rise time for HP’s latest synthesized signal generator, by Lawrence A. Stark, Ronald K. Larson, pg 10-16. 8673A.

Autopeaking. A small amount of hardware and some microprocessor code adjusts a YIG-tuned multiplier to the center of its passband, by Paul A. Zander, pg 12-13

[Authors:] Lawrence [Larry] A. Stark, Ronald [Ron] K. Larson, pg 16

Compact Digital Cassette Drive for Low-Cost Mass Storage. This portable battery-operated unit uses minicassettes to store programs and data inexpensively for HP-IL systems, by David J. Shelley, William A. Buskirk, Charles W. Gibson, pg 17-24. 82161A.

[Authors:] William [Bill ‘Buzzy’] Buskirk, Charles [Charlie] W. Gilson, David [Dave] J. Shelley, pg 24

Scientific Pocket Calculator Extends Range of Built-In Functions. Matrix operations, complex number functions, integration, and equation solving are only some of the numerous preprogrammed capabilities of HP’s latest scientific calculator, the HP-15C, by Joseph P. Tanzini, Paul J. McClellan, Eric A. Evett, pg 25-35

[Authors:] Paul J. McClellan, Joseph [Joe] P. Tanzini, pg 35

A Pocket Calculator for Computer Science Professionals. This compact, yet powerful pocket calculator is designed for technical professionals working in computer science and digital electronics. Boolean operations and bit manipulation are some of its capabilities, by Eric A. Evett, pg 36-40. HP-16C.

Real [Floating-Point] Format, pg 37

Using the HP-16C, pg 38-39

[Author:] Eric A. Evett, pg 40

June 1983 v.34 n.6

Cover: Magnetic card reader of the HP-75 Portable Computer

A Portable Computer for Field, Office, or Bench Applications. This lightweight, battery-powered computer has features that make it an ideal tool for the traveling professional, by Anthony S. Ridolfo, Donald E. Morris, Donald L. Morris, pg 3-9. HP-75.

A Telephone Interface for HP-IL Controllers. Now you can access a remote computer system from your hotel room or a telephone booth, by Brian G. Spreadbury, Sidnee Snell, pg 5-6. 82168A.

HP-IL and the HP-75 Portable Computer. HP’s interface loop lets the HP-75 control a variety of portable peripherals to store and receive data and print results, by Dennis C. York, pg 8-9

High-Capability Electronics Systems for a Compact, Battery-Operated Computer. Here’s how to pack a computer with an integral display and card reader into a small ESD-resistant package, by Elizabeth Brooks, Timothy F. Myers, Robert J. Livengood, Rex C. Smith, pg 10-15. HP-75.

Packaging a Portable Computer, by Lee S. Mason, Gary G. Lutnesky, pg 12

Electrostatic Discharge Protection for the HP-75, by Gregory J. May, pg 14

Handpulled Magnetic Card, Mass Storage System for a Portable Computer. Behind the elegant, simple design of the HP-75’s internal card reader is some clever engineering work, by Kenneth R. Hoecker, James R. Schwartz, Francis A. Young, Dean R. Johnson, pg 15-23

The HP-75 Production Card Recorder. To supply quality blank and application program cards in quantity is the job of this high-volume, ultra reliable system, by David B. Patton, pg 20-21

Integration of the HP-75’s Handpulled Card Reader Electronics in CMOS. Both analog and digital circuits are on a single IC, by Billy E. Thayer, Thomas J. Arnold, pg 24-26

A New Family of Pulse and Pulse/Function Generators. Here are three compact, easy-to-use instruments with the versatility needed for analog and digital applications over wide frequency and amplitude ranges , by Helmut Rossner, Uwe Neumann, Michael Fleischer, pg 27-32. 8116A, 8111A, 8112A.

Feedback Amplifier Has Push-Pull Voltage Output Stage, by Michael Fleischer, pg 30

Designing Bipolar Integrated Circuits for a Pulse/Function Generator Family. The varied capabilities of the three instruments in this family begin with the same three ICs, by Volker Eberle, Stephan Traub, Horst Schweikardt, Christian Hentschel, Adolf Leiter, pg 33-38

Authors June 1983: Donald [Don] E. Morris, Donald [Don] L. Morris, Anthony [Tony] S. Ridolfo, Brian G. Spreadbury, Sidnee Snell, Dennis C. York, Elizabeth [Beth] Brooks, Rex. C. Smith, Robert [Bob] J. Livengood, Timothy [Tim] F. Myers, Francis [Raan] A. Young, Kenneth [Ken] Hoecker, Dean R. Johnson, James [Jim] R. Schwartz, David [Dave] B. Patton, Thomas [Tom] J. Arnold, Billy [Bill] E. Thayer, Michael Fleischer, Helmut Rossner, Uwe Newmann, Christian Hentschel, Stefan Traub, Adolf Leiter, Horst Schweikardt, Volker Eberle, pg 38-40

July 1983 v.34. n.7

Cover: Three views, at progressively finer resolution, of the timing diagram of a RAM

A High-Speed System for AC Parametric Digital Hardware Analysis. This new 50-MHz stimulus-response system is a state-of-the-art tool for comprehensive and rapid characterization of all types of digital circuits, by Andreas Wilbs, Klaus-Peter Behrens, pg 3-7. 8180A/81A/82A.

Parametric Characterization of Digital Circuits, pg 4

A High-Speed Data Generator for Digital Testing. It offers high timing accuracy, precise pulse-level definition, ease of operation, and versatility, by Werner Berkel, Heinz Nussle, Josef Becker, Ulrich Hubner, pg 7-14. 8180A/81A/82A.

High-Speed Data Analyzer Tests Threshold and Timing Parameters. Two innovative features are programmable sampling point delay and real-time compare mode, by Bernhard Roth, Ulrich Schottmer, Martin Dietze, Dieter Kible, pg 14-25. 8182A.

What is Window Comparison?, by Martin Dietze, pg 15

Generation of Analog Voltages, by Bernhard Roth, pg 18

Testing the Key Specification of the 8182A, by Bernhard Roth, pg 20

Interfacing the Device Under Test, by Horst Link, pg 23

Data Analyzer Software/Firmware Design. A skilled task dispatcher makes full use of the interrupt structure of the CPU, by Roberto Mottola, Eckhard Paul, pg 25-28. 8182A.

Power Supplies for the Stimulus/Response System. The objectives were high load current and serviceability within a restricted space, by Ulrich Otto, Horst Link, pg 28-31. 8180A/81A/82A.

New Multi-Frequency LCZ Meters Offer Higher-Speed Impedance Measurements. These instruments, combined with an optional interface and a component handler, make production-line measurements of the impedance parameters of discrete electronic components rapidly and accurately at actual operating frequencies, by Takeshi Kyo, Toshio Tamamura, Tomio Wakasugi, pg 32-38. 4277A, 4276A.

Comparator, pg 34

High-Speed Programmable dc Bias Options, pg 37

History from the Pages of the Hewlett-Packard Journal. A book of articles from past issues is underway, to be titled “Inventions of Opportunity: Matching Technology with Market Needs”, by R. P. Dolan, pg 38

Authors July 1983: Klaus-Peter Behrens, Andreas Wilbs, Werner Berkel, Ulrich Hubner, Josef [Jo] Becker, Neinz Nussle, Ulrich Schottmer, Martin Dietze, Dieter Kible, Bernhard Roth, Roberto Mottola, Eckhard Paul, Horst Link, Ulrich Otto, Takeshi Kyo, Toshio Tamamura, Tomio Wakasugi, pg 39-40

August 1983 v. 34 n.8

Cover: Finstrates (a brand new method of mounting chips)

VLSI Technology Packs 32-Bit Computer System into a Small Package. The new HP 9000 Computer is a compact, highly capable 32-bit computer system that incorporates five very dense integrated circuits made by a highly refined NMOS process, by S. Dana Seccombe, Eugene R. Zeller, Joseph W. Beyers, pg 3-6

Acknowledgments: Bringing these complex technologies to production in late 1982 was the result of the determination and dedication of many people, pg 6

An 18-MHz, 32-Bit VLSI Microprocessor. This NMOS IC contains over 450,000 transistors, by Mark E. Hammer, Darius F. Tanksalvala, Kevin P. Burkhart, Mark A. Forsyth, pg 7-11. 9000.

Instruction Set for a Single-Chip 32-Bit Processor. A stack-oriented design using segmentation forms this command set, by James G. Fiasconaro, pg 9-10

VLSI I/O Processor for a 32-Bit Computer System. this IC uses the same basic circuits as the CPU chip, by Fred J. Gross, Donald R. Weiss, William S. Jaffe, pg 11-14. 9000.

High-Performance VLSI Memory System. This system provides 256K bytes of memory per card and has a bandwidth of 36M byte/s, by Joseph P. Fucetola, Clifford G. Lob, Mark A. Ludwig, Mark J. Reed, pg 14-20. 9000.

18-MHz Clock Distribution System. A clock IC provides buffered two-phase, nonoverlapping clocks, by Clifford G. Lob, Alexander O. Elkins, pg 17

128K-Bit NMOS Dynamic RAM with Redundancy. Extra rows and columns improve chip yield, by John R. Spencer, Dale R. Beucler, John K. Wheeler, Charlie G. Kohlhardt, pg 20-24. 9000.

Polysilicon Link Fusing and Detection Circuit, by Douglas F. DeBoer, pg 23

Finstrate: A New Concept in VLSI Packaging. Finstrate combines a copper fin for heat conduction and dissipation with a multilayer substrate for low-capacitance interconnection between ICs, by Glen E. Leinbach, Jeffery J. Straw, Guy R. Wagner, Arun K. Malhotra, pg 24-26

NMOS-III Process Technology. Refractory metallization, external contact structures,

1.5-mm wide lines and 1.0-mm spaces are used in this VLSI process, by Arun K. Malhotra, S. Dana Seccombe, Fung-sun Fei, James M. Mikkelson, pg 27-30. 9000.

Polysilicon Link Design, by Wiliam C. Terrell, pg 28

Automated Parameter Testing, by Fredrick P. LaMaster, O. Douglas Fogg, pg 29

Two-Layer Refractory Metal IC Process. Tungsten metallization reduces the risk of electromigration failure, by Daniel D. Kessler, Donald E. Novy, Jr., David W. Quint, Norman E. Hendrickson, James P. Roland, pg 30-32

Defect Control for Yield Improvement, by Lawrence A. Hall, pg 33

NMOS-III Photolithography. Step-and-repeat optical lithography, two-layer resist, and pellicles are salient features, by Martin S. Wilson, Keith G. Bartlett, Howard E. Abraham, Gary L. Hillis, Mark Stolz, pg 34-37

Yield Improvement by Use of Pellicles, by Robert Slutz, pg 36

Authors August 1983: Eugene [Gene] R. Zeller, S. Dana Seccombe, Joseph [Joe] W. Beyers, Kevin P. Burkhart, Darius F. Tanksalvala, Mark A. Forsyth, Mark E. Hammer, James [Jim] G. Fiasconaro, Donald [Don] R. Weiss, William [Bill] F. Jaffe, Fred J. Gross, Clifford [Cliff] G. Lob, Mark J. Reed, Joseph [Joe] Fucetola, Mark A. Ludwig, Alexander O. Elkins, Dale R. Beucler, John K. Wheeler, John R. Spencer, Charles [Charlie] G. Kohlhardt, Glen E. Leinbach, Arun K. Malhotra, Jeffery [Jeff] J. Straw, Guy R. Wagner, Fung-Sun Fei, James [Jim] M. Mikkelson, Norman [Norm] E. Hendrickson, Donald [Don] E. Novy, Jr., Daniel [Dan] D. Kessler, David [Dave] W. Quint, James [Jim] P. Roland, Gary L. Hillis, Howard E. Abraham, Mark Stolz, Keith G. Bartlett, Martin [Marty] S. Wilson, pg 37-40

September 1983 v.34 n.9

Cover: A brightly colored space-fantasy and other slides created on the HP 2700

A Color Presentation Graphics Workstation. Here’s a remarkable new workstation family for presentation graphics design, decision support graphics, and graphic art. It features powerful, easy-to-use application software and full block-mode terminal capabilities, by William R. Taylor, Kenneth A. Mintz, Catherine M. Potter, Sharon O. Mead, pg 3-8. 2700.

A System for Creating Graphics Presentations, by John Alburger, Diane Rodriguez, pg 7

ROM/RAM Intrinsics Strategy, pg 8

Designing Software for High-Performance Graphics. It had to offer advanced graphics features and yet be compatible with other HP graphics terminals, by Robert R. Burns, Dale A. Luck, pg 9-14. 2700.

Logic Design for a Graphics Subsystem. Dedicated graphics hardware provides a quick response time, by Craig W. Diserens, Curtis L. Dowdy, William R. Taylor, pg 15-18. 2700.

A High-Resolution Color Monitor. It produces 4096 pure colors and is easy to align, by Paul G. Winninghoff, Mark Hanlon, Geoffrey G. Moyer. 2700.

EMI Entanglements, by Geoff Moyer, pg 19

HP 2700 Power Supply, by Craig Diserens, pg 20

The Graphics Workstation as an Extensible Computer Terminal. The terminal subsystem provides an alphanumeric display, keyboard control, datacom, and local device control, by Thomas K. Landgraf, Stephen P. Pacheco, Paula H. Ng, Otakar Blazek, Edward Tang, pg 22-25. 2700.

A Computer-Aided Test and Tracking System. The test system and the product were designed together, by Charles W. Andrews, Michael R. Perkins, Susan Snitzer, pg 25-28

Product Design of a Friendly Color Graphics Workstation. It doesn’t intimidate the user because of its size, noise level, or apparent complexity, by Badir M. Mousa, Dennis C. Thompson, Kenneth D. Boetzer, Mark A. Della Bona, pg 28-29. 2700.

Display Enhancement, by Bud Mousa, pg 29

HP 2700 Graphics Input Devices, by Bud Mousa, Dennis Thompson, pg 30-31

AUTOPLOT/2700: A Single Approach to Custom Chart Generation. This software will make most of the decisions or leave them to the user, by John M. Perry, Stanley A. Balazer, pg 31-34

PAINTBRUSH/2700: A General-Purpose Picture Creator. Whether novice or expert, the graphic artist can create pictures naturally and interactively, by John R. Alburger, Jim L. Davis, Diane A. Rodriguez, Barbara A. Stanley, pg 34-37

Implementing HP 2700 Applications Software, by Jim Davis, Diane Rodriguez, pg 26

Authors September 1983: Sharon O. Mead, Catherine [Cathy] M. Potter, William [Bill] R. Taylor, Kenneth [Ken] A. Mintz, Dale A. Luck, Robert [Bob] A. Burns, Craig W. Diserens, Curtis [Curt] L. Dowdy, Geoffrey [Geoff] G. Moyer, Paul G. Winninghoff, Mark Hanlon, Stephen [Steve] P. Pacheco, Edward [Ed] Tang, Paula H. Ng, Otakar [Oty] Blazek, Thomas [Tom] K. Landgraf, Michael [Mike] R. Perkins, Charles W. Andrews, Susan [Susie] Snitzer, Mark A. Della Bona, Dennis [Denny] C. Thompson, Kenneth [Ken] D. Boetzer, Badir [Bud] M. Mousa, John M. Perry, Stanley [Stan] A. Balazer, Jim L. Davis, John R. Alburger, Barbara A. Stanley, Diane A. Rodriguez, pg 38-40

October 1983 v.34 n.10

Cover: HP 77020A Ultrasound Imaging System

Ultrasound Imaging: An Overview. By using a beam of ultrasound, it is possible to look at organs and other structures inside the human body without breaking the skin, by Arthur M. Dickey, H. Edward Karrer, pg 3-6

History of HP’s Ultrasound System. Developing this complex system required the contributions of many people at Hewlett-Packard Laboratories and HP’s Andover Division, by John T. Hart, pg 5. 77020A.

An Ultrasound Imaging System. This instrument views the internal organs and tissues of the human body in real time by directing a beam of short ultrasound pulses into the body and then receiving and processing the acoustic echoes to form a displayed image, by Lawrence W. Banks, pg 6-11. 77020A.

Quantitative Analysis for Ultrasound Imaging. This software allows the cardiologist or obstetrician to measure the length and calculate the area or volume of structures displayed by an ultrasound image, by Rachel M. Kinicki, pg 8-9. 77020A.

Authors October 1983: H. Edward [Ed] Karrer, Arthur [Art] M. Dickey, Lawrence [Larry] W. Banks, Rachel M. Kinicki, Richard L. Popp, M.D., John D. Larson III,  David [Dave] G. Miller, George [Tony] A. Fisher, Thomas [Tom] L. Szabo, Gary A. Seavey, Steven [Steve] C. Leavitt, Barry F. Hunt, Hugh G. Larsen, Richard [Jim] J. Conrad, Richard [Rick] A. Snyder, Paul A. Magnin, pg 11-12

A Physician’s View of Echocardiographic Imaging. Effectively applying the advantages of ultrasound imaging to medical diagnosis requires good equipment and training, by Richard L. Popp, M.D, pg 13-16

An Acoustic Transducer Array for Medical Imaging – Part I. The basic design and fabrication constraints are described, by John D. Larson III, pg 17-22

An Acoustic Transducer Array for Medical Imaging – Part II. An equivalent circuit model simplifies the design process, by David G. Miller, pg 22-26

Transducer Test System Design. This automatic system simplifies the measurement of a variety of acoustic and electrical parameters, by George A. Fisher, pg 24-25

Radiated Power Characteristics of Diagnostic Ultrasound Transducers. Accurate measurement of acoustic energy is important in ensuring patient safety, by Thomas L. Szabo, Gary A. Seavey, pg 26-29

A Scan Conversion Algorithm for Displaying Ultrasound Images. Converting acoustic data in polar coordinates to an undistorted display in rectangular coordinates requires a special technique, by Steven C. Leavitt, Hugh C. Larsen, Barry F. Hunt, pg 30-34. 77020A.

Ultrasound Image Quality. Many parameters affect the quality of an ultrasound image, by Richard J. Conrad, Richard A. Snyder, pg 34-38

Coherent Speckle in Ultrasound Images. This phenomenon is often misinterpreted, but with better understanding, could be used to characterize tissue by Paul A. Magnin, pg 39-40

November 1983 v.34 n.11

Cover: Color Business Chart created on a computer

Device-Independent Software for Business Graphics. New programs fortify the electronic office with a choice of graphics interfaces, by Yvonne Temple, pg 3-4. DSG/3000, HPEASYCHART, 17623A, HPDRAW, 2680A.

A Decision Support Chartmaker. Two user interfaces satisfy the needs of both the nonprogrammer and the sophisticated user, by Richard J. Simms, Jr., Janet Elich Morris, pg 5-9. DSG/3000.

An Easy-to-Use Chartmaker. It’s the simplest way for a nonprogrammer to get a professional-looking chart, by Robert W. Dea, Martha Seaver, Richard J. Simms, Jr., pg 10-12. HPEASYCHART.

Convenient Creation and Manipulation of Presentation Aids. Draw figures freehand or choose them from a library, then edit them by point-and-push methods, by Janet Swift, Chayaboon Purnaveja, pg 13-17. HPDRAW.

Graphics Capabilities on a Laser Printer. Printers do text documents and plotters produce graphics but this printer can do the whole job, by William J. Toms, James C. Bratnober, Tamara C. Baker, Gerald T. Wade, pg 17-22. 2680A.

Special Report: The Center for Integrated Systems. Hewlett-Packard is helping to launch a new research facility at Stanford – and a new approach to industry-university relations in the U.S.A, by Frederick H. Gardner, pg 23-30

CIS Research Topics, pg 29

Authors November 1983: Yvonne Temple, Richard [Rich] J. Simms, Jr., Janet Elich Morris, Robert [Bob] W. Dea, Martha Seaver, Janet Swift, Chayaboon [Audi] Purnaveja, Gerald [Gerry] T. Wade, William [Bill] J. Toms, James [Jim] c. Bratnober, Tamara [Tammy] C. Baker, Frederick [Fred] H. Gardner, pg 31-32

Hewlett-Packard Journal Book Now Available: Inventions of Opportunity: Matching Technology with Market Needs, pg 32

December 1983 v.34 n.12

Cover: Fused Silica Columns

Control Hardware for an Ultrasound Imaging System. Coordinating the various subsystems, peripherals, and operator commands for HP’s diagnostic imaging system requires sophisticated controller hardware, by John N. Dukes, Janet R. Accettura, Richard H. Jundanian, pg 3-5. 77020A, 77900A.

Ultrasound System Software. Coordinating the operation of the complex subsystem in HP’s ultrasound imaging system is a comprehensive software system using an internal bus based on the HP-IB, by Joseph M. Luszcz, William A. Koppes, Robert J. Kunz, David C. Hempstead, pg 6-13. 77020A, 77900A, 77200A, 77400A.

Electronic Scanner for a Phased-Array Ultrasound Transducer. This subsystem controls the transmission and reception of ultrasound pulses by 64 transducer elements to scan a 90° sector and collect data for an ultrasound image, by James T. Fearnside, Sydney M. Karp, Ronald D. Gatzke, pg 13-20. 77020A.

A Mixing Scheme to Focus a Transducer Array Dynamically. An architecture based on a common summing delay line eliminates the need for many separate delay lines, by Robert N. McKnight, pg 16-17

Display System for Ultrasound Images. This subsystem collects digital data from ultrasound scanning and physiological waveforms from other amplifiers and processes them for display in a rectangular raster-scan format, by James R. Mniece, Raymond G. O’Connell, Alwyn P. D’Sa, pg 20-28. 77400A, 77020A.

Video Recording of Ultrasound Images, by James R. Mniece, pg 24-25

Authors December 1983: John N. Dukes, Richard [Rich] H. Jundanian, Janet [Jan] R. Accettura, William [Bill] A. Koppes, Joseph [Joe] M. Luszcz, David [Dave] C. Hempstead, Robert [Bob] J. Kunz, James [Jim] T. Fearnside, Sydney M. Karp, Ronald [Ron] D. Gatzke, Robert [Bob] N. McKnight, Alwyn P. D’Sa, James [Jim] R. Mniece, Raymond [Ray] G. O’Connell, Jr., Bruce L. Ryder, Thomas [Tom] J. Stark, Paul A. Larson, pg 28-29

Index: Volume 34 January 1983 through December 1983. PART 1: Chronological Index, pg 30-31. PART 2: Subject Index, pg 31-33. PART 3: Model Number Index, pg33-34. PART 4: Author Index, pg 34.

Fused Silica Capillary Columns for Gas Chromatography. Here’s how collaborative research between HP’s chemical analysis and optoelectronics laboratories created a vastly superior GC column, by Thomas J. Stark, Bruce L. Ryder, Paul A. Larson, pg 35-40