1988 – HP Journal Index

February 1988 v.39 n.1

Cover: The ADC hybrid microcircuit of the HP 5185A Waveform Recorder in front of a display from a thermal modeling program

Precision Digital Oscilloscopes and Waveform Recorders. This precision instrument family consists of five digitizing oscilloscopes based on three waveform recorders and an analysis, display and I/O section, by James L. Sorden, pg 6-14. 5180T/U, 5183T/U, 5185T.

Waveform Recorder Software Design, by John Ketchum, pg 12-13.

See Also: Correction: The authors for the article “Waveform Recorder Software Design”, on pages 12-13 were listed incorrectly, page 47 in the April 1988 issue

Signal Conditioning and Analog-to-Digital Conversion for a 4-MHz, 12-bit Waveform Recorder, by Albert Gee, Ronald W. Young, pg 15-22. 5183A.

Adaptive Sample Rate: A First-Generation Automatic Time Base, by Nancy W. Nelson, Richard W. Page, pg 23-25. 5183A, 5183T.

Waveform Reconstruction Techniques for Precision Digitizing Oscilloscopes, by Allen S. Foster, Richard W. Page, pg 26-31

Digital Design of a High-Speed Waveform Recorder. Operation from dc to 250 MHz, where a clock cycle is only four nanoseconds long, makes timing a major concern in the design of the HP 5185A Waveform Recorder, by Steven C. Bird, Rayman W. Pon, Patrick D. Deane, pg 32-38

Printed Circuit Board Transmission Lines, pg 35

Waveform Recorder Design for Dynamic Performance. Quantizer on-chip delays and delay mismatches, low-order distortion in the input amplifier, and low levels of phase noise in the sampling clock can have significant effects on performance, by Bruce E. Peetz, Mark A. Unkrich, Steven C. Bird, Brian J. Frohring, pg 39-47. 5185A.

Fixed-Frequency Sine Wave Curve Fit, pg 48

Packaging a High-Performance 250-Megasample-per-Second Analog-to-Digital Converter, by Patrick D. Deane, Simcoe Walmsley, Jr., Farid Dibachi, pg 49-52. 5185A.

Precision Digitizing Oscilloscope Waveform Analysis, Display, and Input/Output, by Douglas C. Nichols, pg 53-64. 5180T/U, 5183T/U, 5185T, 5180A, 5183A, 5185A, 51089A.

Handling of  Significant Digits, pg 63

Developing a Printed Circuit Board Design System. HP’s Printed Circuit Board Design System (HP PCDS) was developed to meet the needs of electronic designers dealing with ever-increasing complexity and density, by Elaine C. Regelson, pg 65-67

Automating the Printed Circuit Board Design Process. Using a computer to place components on a printed circuit board and route most connections greatly simplifies the design process. To accomplish this, careful selection of the algorithms is essential, by Gary Jackoway, pg 68-71. PCDS.

Finding Paths in a Gridded Data Structure, pg 70

Managing HP PCDS with the Design System Manager. Engineering and design organizations must effectively manage design information to reap the productivity benefits of CAE/CAD systems. The Design System Manager addresses the information management needs in the HP PCDS design environment, by Paul S. Reese, Mark E. Mayotte, pg 71-76. Printed Circuit Design System.

Use of Filesets in HP PCDS, pg 73

Version Strings, pg 75

A Multidevice Spooler for Technical Applications. The variety and complexity of shared devices for CAD systems such as one used for printed circuit design require a flexible spooler with a common access method for all applications, by Deborah A. Lienhart, pg 77-80. PCDS.

Integrating Applications in a Design Management System. The many data files and applications involved in a CAD system required a sophisticated management system to preserve data integrity, prevent conflicts, and maintain design documentation, by Mark E. Mayotte, pg 80-83. DSM.

HP PCDS Library Module, by John M. Agosta, pg 82-83

Software Quality Assurance on the HP Printed Circuit Design System Project, by David E. Martin, pg 84-86. PCDS.

See Also: Reader Forum: Letter from Kevin Preston, Steve Robinson, Tony Peters, Kelly Ford regarding “Software Quality Assurance on the HP Printed Circuit Design System Project”; letter from author David Martin in response, page 82-83 in the August 1988 issue

Silicon-on-Insulator MOS Devices for Integrated Circuit Applications. Several techniques for fabricating regions of crystalline silicone on insulating substrates are available. These methods are described briefly and device design considerations introduced by the use of SOI are discussed, by Jean-Pierre Colinge, pg 87-93. Silicon-on-insulator.

Authors February 1988: James [Jim] L. Sorden, Albert [Al] Gee, Ronald [Ron] W. Young, Richard W. Page, Nancy W. Nelson, Allen S. Foster, Patrick [Pat] D. Deane, Rayman [Ray] W. Pon, Steven [Steve] C. Bird, Bruce E. Peetz, Mark A. Unkrich, Brian J. Frohring, Farid Dibachi, Simcoe Walmsley, Jr., Douglas [Doug] C. Nichols, Elaine C. Regelson, Gary Jackoway, Paul S. Reese, Deborah [Debbie] A. Lienhart, Mark E. Mayotte, David [Dave] E. Martin, Jean-Pierre Colinge, pg 94-96

April 1988 v.39 n.2

Cover: An Intricate and precise structure called a finline created by millimeter-waves technologies

Millimeter-Wave Sources and Instrumentation. The recent growth in developing and applying millimeter-wave systems has created a corresponding demand for millimeter-wave test instrumentation, by John R. Regazzi, Mohamed M. Sayed, pg 6-11. 83556A, 83554A, 83555A.

A New Generation of Millimeter-Wave Calibration and Verification Standards, by Julius K. Botka, Paul B. Watson, Doug Halbert, pg 8-9

Millimeter-Wave Vector Network Analysis, by Robert G. Dildine, James D. Grace, pg 12-18

Millimeter-Wave Source Modules. Driven by a microwave source, these modules double or triple the input frequency to generate output frequencies in the millimeter-wave range, by Robert D. Albin, pg 18-25.

Millimeter-Wave Source Module Interface, by John R. Regazzi, pg 20-21

2-GHz-to-20-GHz Amplifier, by Jeffrey W. Meyer, Mary K. Koenig, pg 22-23

High-Power Microwave Source for Millimeter-Wave Generation. This plug-in can serve directly as a high-power 8-to-20-GHz source or as a driver for a family of millimeter-wave sources, by Alan R. Bloom, Kenneth A. Richter, Andrew N. Smith, Roger R. Graeber, Ronald T. Yamada, pg 26-30. 83550A, 8355x.

Millimeter-Wave Detectors Extend Range of Scalar Network Analyzer, by Herbert L. Upham, pg 31-34. 8757A.

Waveguide Reflectometer Calibration, pg 33

Design and Performance of Millimeter-Wave Thermocouple Sensors, by Lee H. Colby, pg 35-38. Q8486A, R8486A.

Adapting UNIX Logon Mechanisms to Automation Applications. Although originally intended for software development and document preparation, the utilities provided by the UNIX operating system can be adapted in various ways for use by novice operators in an automated environment, by Marvin L. Watkins, pg 39-47

Correction: The authors for the article “Waveform Recorder Software Design”, on pages 12-13 in the February 1988 issue were listed incorrectly, pg 47

A Virtual User Simulation Utility. The vuser utility makes it possible to simulate one or several users on a system. It is a useful tool for all types of testing, particularly interactive testing. vuser runs under the HP-UX operating system on HP 9000 Series 800 and 300 Computers, by Kjell A. Olsson, Mark Bergman, pg 48-53

Vuser Run String Options, pg 51

An HP-UX Kernel Load and Measurement System. This system runs on HP Precision Architecture computers under the HP-UX operating system. It can be used to generate and measure different types of HP-UX kernel activities, by Kjell A. Olsson, Grace T. Yee, pg 54-60

Process Measures to Improve R&D Scheduling Accuracy. Improvement is possible if scheduling is regarded as a process subject to continuous measurement, by Richard M. Levitt, pg 61-65

Authors April 1988: John R. Regazzi, Mohamed M. Sayed, Robert [Bob] G. Dildine, James [Jim] D. Grace, Robert [Dale] D. Albin, Alan [Al] R. Bloom, Ronald [Ron] T. Yamada, Kenneth [Ken] A. Richter, Roger R. Graeber, Andrew [Andy] N. Smith, Herbert [Herb] L. Upham, Lee H. Colby, Marvin [Marv] L. Watkins, Mark Bergman, Kjell A. Olsson, Grace T. Yee, Richard [Dick] M. LeVitt, Wilfredo [Willy] T. Sagun, Thomas [Tom] Hornak, Gary L. Bladwin, Fred H. Ives, Albert [Al] W. Kovalick, Roland [Rolly] Hassun, Rafael F. Miranda, Peter [Pete] T. Thysell, Derrick T. Kikuchi, pg 66-68

An Arbitrary Waveform Synthesizer for DC to 50 MHz. Precision, flexibility, and repeatability of signals are ensured by a digital architecture. Two or more synthesizers can be synchronized to provide several sources of complex signals with an identical time reference, by Roland Hassun, Albert W. Kovalick, pg 69-77. 8770A.

Address Sequencer, by Matt Klein, pg 72-73

Glossary of Address Sequencer Terms, pg 73

Sampling Clock Requirements, by Douglas A. Larson, pg 76

A 125-MHz 12-Bit Digital-to-Analog Converter System. Advanced IC DAC technology and a system design approach were needed to achieve the performance of the HP 8770A Arbitrary Waveform Synthesizer, by Fred H. Ives, Thomas Hornak, Wilfredo T. Sagun, Gary L. Baldwin, pg 78-85. 8770A.

Arbitrary Waveform Synthesizer Applications in Magnetic Recording and Radar, by Roland Hassun, Albert W. Kovalick, pg 86-93. 8770A.

A Waveform Generation Language for Arbitrary Waveform Synthesis. Easier to use than conventional programming languages, WGL is the primary front-panel interface for the HP 8770A, by Rafael F. Miranda, Peter A. Thysell, Derrick T. Kikuchi, pg 94-96

June 1988 v.39 n.3

Cover: The advanced one-gigasample-per-second analog to digital converter which is the key element in the HP 54111D Oscilloscope

Statistical Issues in Setting Product Specifications. A primer on the use of statistics in specification setting, by Sherry L. Read, Timothy R. C. Read, pg 6-11

Robust Estimators, pg 7

Propagation of Error with Multiple Sources of Variability, pg 9

Boxplots, pg 11

Circuit Design Using Statistical Data Analysis. A methodology for setting limits of uncertainty on amplifier output power based on measured prototype data facilities the design of amplifiers that minimize output power variations. The result is a printed circuit board requiring no adjustments, by Karen Kafadar, Lynn M. Plouse, pg 12-17

Statistical Calibration of a Vector Demodulator. Circuit performance characteristics must be determined and accounted for in instrument calibration. This paper describes an algorithm for statistical demodulator calibration to guarantee high precision in the demodulated signal, by Karen Kafadar, pg 18-25

Appendix: Solving Nonlinear Least Squares Problems, pg 24

An Availability and Reliability Calculation Tool for Computer Systems. This software program helps R&D engineers make trade-offs in designing for reliability. It also provides marketing representatives with a tool to evaluate the reliability and availability of customer-defined systems, by Wulf D. Rehder, pg 26-29. Reliability, availability, and serviceability/supportability, RAS.

The Language of Dependability, pg 28

Project Management using Software Reliability Growth Models. At HP’s Lake Stevens Instrument Division, the Goel-Okumoto software reliability growth model has provided a means for more accurately predicting the duration of system testing and determining when to release a new product, by Gregory A. Kruger, pg 31-35

A Reliable, Autoloading, Streaming Half-Inch Tape Drive. Designed for rack mounting, this compact tape drive cleverly channels air flow to load different-sized half-inch tape reels automatically. It also features higher performance and reliability than its predecessor, by Ronald L. Abramson, Leslie G. Christie, Jr., Douglas R. Domel, Kraig A. Proehl, John W. Dong, pg 36-42. 7980A.

Streaming Tape Drive Control Electronics, by Bradfred W. Culp, Kraig A. Proehl, Jeffery J. Kato, Douglas R. Domel, David W. Ruska, Virgil K. Russon, Gerod C. Melton, Peter Way, Wayne T. Gregory, pg 43-54. 7080A.

Authors June 1988:Sherry L. Read, Timothy [Tim] R. C. Read, Karen Kafadar, Lynn M. Plouse, Wulf D. Rehder, Gregory [Greg] A. Kruger, Douglas [Doug] R. Domel, Kraig A. Proehl, Ronald [Ron] L. Abramson, John W. Dong, Leslie G. Christie, Jr., Bradfred [Brad] W. Culp, Wayne Thomas [Tom] Gregory, Gerod C. Melton, Peter Way, Jeffery [Jeff] J. Kato, Virgil K. Russon, David W. Ruska, John J. Corcoran, Ken Poulton, Knud L. Knudsen, Lewis R. Dove, Mark E. Mathews, Thomas K. Bohley, Joe K. Millard, David W. Bigelow, Donald [Don] D. Skarke, B. Allen Montijo, pg 55-57

A One-Gigasample-per-Second Digitizing Oscilloscope. This instrument’s high sampling rate makes it particularly useful for analyzing high-speed, one-shot occurrences. A blend of state-of-the-art designs was required to achieve this performance, by Joe K. Millard, pg 58-59. 54111D.

A One-Gigasample-per-Second Analog-to-Digital Converter, by Ken Poulton, John J. Corcoran, Knud L. Knudsen, pg 59-66. 54111D.

Repetitive versus Single-Shot Bandwidth, by John J. Corcoran, pg 60

Digitizer Hybrid, by Lewis R. Dove, Mark E. Mathews, pg 64-65

Front-end Signal Conditioning for a High-Speed Digitizing Oscilloscope, by Lewis R. Dove, Joe K. Millard, Thomas K. Bohley, David W. Bigelow, Mark E. Mathews, Donald D. Skarke, pg 67-69. 54111D.

Digital Filtering in a High-Speed Digitizing Oscilloscope, by B. Allen Montijo, pg 70-76

Dithering in the HP 54111D, pg 72

Digital Filters, pg 75

August 1988 v.39 n.4

Cover: Print cartridges for the HP PaintJet Color Graphics Printer and a photograph reproduced by the PaintJet printer

Design and Development of a Color Thermal Inkjet Print Cartridge. The printhead has to tolerate bubbles, nucleation defects, and localized ink property changes. It must also have long print quality life and be manufacturable in high volume. Testing to verify reliability and manufacturability covered thousands of pens, by Stephen J. Nigro, David A. Johnson, Jeffrey P. Baker, Vyomesh Joshi, pg 6-15. PaintJet.

Capillary Forces in a Foam Matrix, pg 12

Print Quality and Pen Development, by Dan Beamer, Mike Borer, May Fong Ho, Don Bergstedt, pg 14

Development of a Color Graphics Printer. Full-color graphics, reliability, and software support received high priorities in the development of the HP PaintJet Color Graphics Printer, by Hatem E. Mostafa, James C. Smith, Emil Maghakian, David C. Tribolet, pg 16-20

Color Communication Standard, by Don Palmer, Emil Maghakian, Ricardo Motta, pg 18

Manufacturability of the PaintJet Printer, by Eric Clarke, pg 19

Mechanical Design of a Color Graphics Printer. Among the issues were ensuring proper insertion of the print cartridge, making reliable electrical connections to it, moving the paper or film accurately, and designing a primer, by Lawrence W. Chan, P. Jeffrey Wield, Ruben Nevarez, Chuong Cam Ta, pg 21-27. PaintJet.

The Second-Generation Thermal Inkjet Structure. Changes in materials and processes increase resolution from 96 to 180 dots per inch and extend printhead life from 2 million drops to 7 million drops, by Ronald A. Askeland, Winthrop D. Childers, William R. Sperry, pg 28-31. PaintJet.

High-Volume Microassembly of Color Thermal Inkjet Printheads and Cartridges. Miniature parts and micrometer mechanical tolerances make high-volume assembly challenging. Adhesive selection was the first step. Special fixtures, tools, automatic machines with vision, instrumentation, and systems had to be developed, by Steven W. Steinfield, Peter M. Roessler, Timothy J. Carlin, Cheryl A. Boeller, pg 32-40

Automatic Alignment Machines, by Jeff Beemer, Mitch Levinson, Glen Oldenburg, Mick Trejo, Ed Wiesmeier, pg 34-35

JULIO, by Don Bergstedt, pg 37

Factory Systems, by Stan Evans, Carol Beamer, Mary Ann Beyster, Diane Fisher, Diane Armstrong, pg 39

Ink Retention in a Color Thermal Inkjet Pen. Keeping the ink in the pen and off the user is a nontrival engineering problem, by Mary E. Haviland, Brian D. Gragg, W. Wistar Rhoads, Jim L. Ruder, Joseph E. Scheffelin, Erol Erturk, pg 41-45. PaintJet.

Activating the Pen, by Erol Erturk, pg 43

Ink and Media Development for the HP PaintJet Printer. The ink, paper, overhead transparency film and printhead for the HP PaintJet Color Graphics Printer had to be designed as a system because of the complex interactions between these elements, by Donald J. Palmer, Mark S. Hickman, Peter C. Morris, Ronald J. Selensky, John Stoffel, M. Beth Heffernan, pg 45-50

Color Thermal Inkjet Printer Electronics. The design objectives were to minimize part count while maximizing cost/performance, by Philip C. Schultz, William J. Walsh, Jennie L. Hollis, pg 51-56. PaintJet.

Low-Cost Servo Design, by Mark Majette, David Ellement, pg 54-55

HP-RL: An Expert Systems Language. HP-RL is an integrated set of artificial intelligence programming tools that has been used at HP for many types of expert systems experiments, by Steven T. Rosenberg, pg 57-65. Representation Language.

About HP-RL, pg 59

Authors August 1988: Vyomesh Joshi, Stephen [Steve] J. Nigro, Jeffrey P. Baker, David A. Johnson, James [Jim] C. Smith, Emil Maghakian, David [Dave] C. Tribolet, Hatem E. Mostafa, P. Jeffrey [Jeff] Wield, Lawrence W. Chan, Ruben Nevarez, Chuong Cam Ta, Winthrop [Win] D. Childers, Ronald [Ron] A. Askeland, William [Bill] R. Sperry, Timothy [Tim] J. Carlin, Steven [Steve] W. Steinfield, Cheryl A. Boeller, Peter [Pete] M. Roessler, Brian D. Gragg, Jim L. Ruder, Erol Erturk, Mary E. Haviland, Joseph [Joe] E. Scheffelin, W. Wistar Rhoads, Ronald [Ron] J. Selensky, Peter C. Morris, Donald [Don] J. Palmer, John Stoffel, M. Beth Heffernan, Mark S. Hickman, Jennie L. Hollis, Philip [Phil] C. Schultz, William [Bill] J. Walsh, Steven T. Rosenberg, Alan L. Foster, James [Jim] P. Ambras, Randolph [Randy] N. Splitter, Mark L. Chiarelli, Lucy M. Berlin, Vicki O’Day, Serge L. Rudaz, Chin-Wang [Ching-Wang] Tu, Michael [Mike] D. Camras, Dennis C. DeFevere, Wayne L. Snyder, Louis W. Cook, David K. McElfresh, Frank M. Steranka, pg 65-70

MicroScope: An Integrated Program Analysis Toolset. MicroScope supports evolutionary software development by helping programmers understand complex programs written in Common Lisp, by James P. Ambras, Randolph N. Splitter, Alan L. Foster, Mark L. Chiarelli, Lucy M. Berlin, Vicki O’Day, pg 71-82

The Browser Construction Toolkit, pg 76

Using Templates in Cross-Reference Analysis, pg 77

Rule-Based Execution Monitoring, pg 79-80

Reader Forum: Letter from Kevin Preston, Steve Robinson, Tony Peters, Kelly Ford regarding “Software Quality Assurance on the HP Printed Circuit Design System Project”, pg 84 in the February 1988 issue; letter from author David Martin in response, pg 82-83

Red AlGaAs Light-Emitting Diodes. HP has recently released indicator and display products containing a new type of red light-emitting diode (LED) based on the aluminum gallium arsenide (AlGaAs) materials system. These LED’s offer a significant improvement in efficiency over the red LED’s that have previously been available but cost only slightly more., by Serge L. Rudaz, Chin-Wang Tu, Dennis C. DeFevere, Louis W. Cook, David K. McElfresh, Frank M. Steranka, Michael D. Camras, Wayne L. Snyder, pg 84-88

October 1988 v.39 n.5

Cover: The HP-UX 6.0 discless operating system

Discless HP-UX Workstations. HP-UX 6.0 provides low-cost discless workstation operation over a local area network. It also provides a single file system view, intervendor file sharing, and conformance to UNIXÒ System V Interface Definition (SVID) semantics, by Scott W. Wang, pg 6-9

Program Management, by Scott W. Wang, pg 8

A Discless HP-UX File System, by Joel D. Tesler, Debra S. Bartlett, pg 10-14

Discless Program Execution and Virtual Memory Management, by William T. McMahon, Ching-Fa Hwang, pg 15-20. HP-UX 6.0.

The Design of Network Functions for Discless Clusters, by Chyuan-Shiun Lin, David O. Gutierrez, pg 20-26. HP-UX.

Crash Detection and Recovery in a Discless HP-UX System, by Annette Randel, pg 27-32. HP-UX.

Boot Mechanism for Discless HP-UX, by Perry E. Scott, John S. Marvin, Robert D. Quist, pg 33-36

Discless System Configuration Tasks, by Kimberly S. Wagner, pg 37-39. Reconfig.

Small Computer System Interface. The SCSI standard is the newest interface for the HP 9000 Series 300 family of HP-UX workstations. It offers improved performance, simplicity in design, a wide choice of controller chips, and wide acceptance in the UNIX community, by Paul Q. Perlmutter, pg 39-45

SCSI and HP-IB, pg 44

X: A Window System Standard for Distributed Computing Environments. The X Window System allows applications running in different environments and on different machines to communicate high quality, graphical user interfaces over a network, by James B. Byers, Frank E. Hall, pg 46-50. X Window System.

Managing the Development of the HP DeskJet Printer. Forays into unexplored regions of technology are inevitable in the development of breakthrough products, but they must be limited and carefully managed, by John D. Rhodes, pg 51-54

Market Research as a Design Tool, by Alan Grube, pg 53

Human Factors and Industrial Design of the HP DeskJet Printer, by Don McClelland, pg 54

Development of a High-Resolution Thermal Inkjet Printhead. The HP DeskJet printer’s 300-dot-per-inch resolution is fundamental to its ability to produce laser-quality output, by William A. Buskirk, Robert N. Low, Richard R. Van De Poll, David E. Hackleman, Stanley T. Hall, Kenneth E. Trueba, Paula H. Kanarek, pg 55-61

Integrating the Printhead into the HP DeskJet Printer. The printhead support systems provide signals to energize the ink-firing resistors, electrical connections to the pen, a carriage to hold and move the pen, and elements to protect and maintain the pen, by J. Paul Harmon, John A. Widder, pg 62-66

DeskJet Printer Chassis and Mechanism Design. One mechanism moves the carriage while another uses a single motor to pick, feed, and eject paper and prime the pen. The polycarbonate chassis supports everything, by Kieran B. Kelly, David W. Pinkernell, Steve O. Rasmussen, Larry A. Jackson, John A. Widder, pg 67-75

Data to Dots in the HP DeskJet Printer. A microprocessor-controlled custom IC manipulates dot data to provide double-width, half-width, compressed, half-height, draft-quality, bold, underlined, and tall characters, and graphics too, by Claude W. Nichols, Mark D. Lund, Donna J. May, Thomas B. Pritchard, pg 76-80

The DeskJet Printer Custom Integrated Circuit, by Tom Pritchard, pg 77

DeskJet Printer Font Design, by Bruce Yano, pg 79

Firmware for a Laser-Quality Thermal Inkjet Printer. The firmware resident in the HP DeskJet printer is divided into generic printer code and printer specific code. An optional cartridge provides Epson FX-80 emulation, by Kevin R. Hudson, Claude W. Nichols, David J. Neff, Mark J. DiVittorio, Brian Cripe, Michael S. Ard, pg 81-86

Slow-Down Mode, by Claude Nichols, pg 82

Robotic Assembly of HP DeskJet Printed Circuit Boards in a Just-in-Time Environment. A high-speed machine places most of the surface mount components while a vision-guided robot places small components and plastic leaded chip carriers, by P. David Gast, pg 87-90

DeskJet Printer Design for Manufacturability, by Don Harring, pg 88

Fabricated Parts Tooling Plan, by Jeff Ward, pg 90

CIM and Machine Vision in the Production of Thermal Inkjet Printheads. Machine vision systems for DeskJet printhead production range from open-loop go/no-go systems to process verification systems to completely integrated process control systems, by Brian L. Helterline, Mark C. Huth, Robert F. Aman, Timothy S. Hubley, Gregg P. Ferry, Robert A. Conder, pg 91-98.

Whole Wafer Assembly of Thermal Inkjet Printheads, by Bob Aman, pg 92-93

Production Print Quality Evaluation of the DeskJet Printhead, by Timothy S. Hubley, pg 96-97

Economical, High-Performance Optical Encoders. These high-resolution optical encoders are inexpensive and easy to install, making closed-loop motion control feasible in high-volume, extremely cost-sensitive applications, by Robert Nicol, Mark G. Leonard, Howard C. Epstein, pg 99-106. DeskJet, HEDS-9000.

Basics of Optical Incremental Encoders, pg 100-101

A Complete Encoder Based on the HEDS-9000 Encoder Module, by Chris Togami, pg 105

Authors October 1988: Scott W. Wang, Debra [Debbie] S. Bartlett, Joel D. Tesler, Ching-Fa [Ching] Hwang, William [Bill] McMahon, David O. Gutierrez, Chyuan-Shiun Lin, Annette [Anny] Randel, John S. Marvin, Perry E. Scott, Robert D. Quist, Kimberly [Kim] S. Wagner, Paul Q. Perlmutter, Frank E. Hall, James [Jim] B. Byers, John D. Rhodes, Kenneth [Ken] E. Trueba, Richard [Rich] R. Van de Poll, Paula H. Hanarek, Robert [Bob] N. Low, William [Bill] A. Buskirk, Stanley [Stan] T. Hall, David E. Hackleman, J. Paul Harmon, David [Dave] W. Pinkernell, John A. Widder, Kieran B. Kelly, Steve O. Rasmussen, Larry A. Jackson, Donna J. May, Claude W. Nichols, Mark D. Lund, Thomas [Tom] B. Pritchard, Mark J. DiVittorio, Michael [Mike] S. Ard, Kevin [Hud] R. Hudson, Brian Cripe, David J. Neff, P. David [Dave] Gast, Robert [Bob] F. Aman, Brian L. Helterline, Gregg P. Ferry, Timothy [Tim] S. Hubley, Mark C. Huth, Robert [Bob] A. Conder, Robert [Rob] Nicol, Mark G. Leonard, Howard C. Epstein, pg 107-112

December 1988 v.39 n.6

Cover: The autocorrelation functions of two complementary Golay codes merge into their sidelobe-free sum in this representation of the signal processing technique implemented in the HP 8145A Optical Time-Domain Reflectometer

A High-Speed Optical Time-Domain Reflectometer with Improved Dynamic Range. This article presents basic information on optical time-domain reflectometry and introduces the HP 8145A, which uses a data correlation technique to increase measurement speed and dynamic range, by Franz Sischka, Michael Fleischer-Reumann, pg 6-13. OTDR.

Technical Risk Reduced by Joint Development Effort, by Michael Fleischer-Reumann, Steve Newton, pg 8

Complementary Correlation Optical Time-Domain Reflectometry. The autocorrelation function of a complementary Golay code pair has zero sidelobes, making these codes ideal for spread-spectrum optical time-domain reflectometry, by Mosche Nazarathy, Franz Sischka, Steven A. Newton, pg 14-21. OTDR.

Optical Component Design for a Correlation-Based Optical Time-Domain Reflectometer. The major requirements for the laser driver, optical system, and receiver were single-mode, two-wavelength operation, high linearity, low noise, and low insertion loss, by Jurgen Beck, Siegfried Gross, Robin Giffard, pg 22-28. 8145A.

Signal-to-Noise Ratio for Detection Using a PIN Diode, pg 27

Data Processing in the Correlating Optical Time-Domain Reflectometer. A powerful special-purpose digital signal processor, a general-purpose main processor, and pipelined measurement firmware work with the optical components to make measurements, by Jochen Rivoir, Wilfried Pless, pg 29-34. 8145A.

Optical Time-Domain Reflectometer User Interface Design. The firmware built into the HP 8145A OTDR is the invisible intelligence that makes a complex instrument easy to use, by Joachim Vobis, pg 35-38

Printing on Plain Paper with a Thermal Inkjet Printer. An understanding of “plain papers” and how their variability affects performance played a key role in the development of the HP DeskJet printer, by Steven J. Bares, pg 39-44

Host Independent Microprocessor Development Systems. A new architecture makes it possible to use this family of emulators with workstations, mainframes, or personal computers. The cabling technology and chassis design improve performance and usability, by Arnold S. Berger, pg 45-51. HP 64700 Series.

Host Independent Emulator Software Architecture. Built into the firmware of the HP 64700 Series host independent emulators in an entire microprocessor development system, by William A. Fischer, Jr., pg 52-56

Expanded Memory for the HP Vectra ES Personal Computer. This memory subsystem provides high-performance expanded memory and extended memory support for HP Vectra Personal Computer applications while maintaining compatibility with industry standards, by Gary W. Lum, Milton J. Lau, Wesley H. Stelter, pg 57-63

LIM EMS 3.2 and 4.0, pg 61

Expanded versus Extended Memory, pg 62

Index: Volume 39 January 1988 through December 1988. PART 1: Chronological Index, pg 64-65. PART 2: Subject Index, pg 66-69. PART 3: Product Index, pg 69-70. PART 4: Author Index, pg 70-71.

Authors December 1988: Franz Sischka, Michael Fleischer-Reumann, Moshe Nazarathy, Steven [Steve] A. Newton, Siegfried [Sigi] Gross, Robin Giffard, Jurgen Beck, Wilfried [Willy] Pless, Jochen Rivoir, Joachim Vobis, Steven [Steve] J. Bares, Arnold [Arnie] S. Berger, William [Bill] A. Fischer, Jr., Gary W. Lum, Milton J. Lau, Wesley [Wes] H. Stelter, Ulrich H. Haeberien, Alexander [Alex] Keller, pg 72-73

Generalization of the Redfield-Kunz Treatment of Quadrature Phase Time Data. A prescription is given to computer the complex Fourier transform spectrum from quadrature phase time data when the x and y signals are sampled neither simultaneously nor alternately. This case applies to the sampling scheme of the HP 5180A Waveform Recorder, by Alexander Keller, Ulrich H. Haeberlen, pg 74-76

1987 – HP Journal Index

January 1987 v.38 n.1

Cover: HP 3562A Analyzer

Low-Frequency Analyzer Combines Measurement Capability with Modeling and Analysis Tools. HP’s next-generation two-channel FFT analyzer can be used to model a measured network in a manner that simplifies further design, by Eric J. Wicklund, Michael L. Hall, Edward S. Atkinson, Gaylord L. Wahl, Jr., Steven K. Peterson, pg 4-16. 3562A.

Applications, pg 7

Measurement Modes and Digital Demodulation for a Low-Frequency Analyzer. Swept sine and linear and logarithmic resolution modes and demodulation using digital signal processing algorithms provide greater accuracy and measurement convenience, by Edward S. Atkinson, Ronald W. Potter, James A. Vasil, Raymond C. Blackham, pg 17-25. 3562A.

Demodulation Example, by Ronald W. Potter, pg 22-23

Analyzer Synthesizes Frequency Response of Linear Systems. Pole-zero, pole-residue, or polynomial models can be synthesized for comparison with measured responses of low-frequency filters and closed-loop control systems, by James L. Adcock, pg 25-32. 3562A.

Curve Fitter for Pole-Zero Analysis. This curve fitting algorithm can derive the poles and zeros of measured frequency responses, by James L. Adcock, pg 33-36. 3562A.

Authors January 1987: Gaylord L. Wahl, Jr., Michael [Mike] L. Hall, Edward [Sandy] S. Atkinson, Eric J. Wicklund, Steven [Steve] K. Peterson, Raymond [Ray] C. Blackham, Ronald [Ron] W. Potter, James A. Vasil, James [Jim] L. Adcock, James [Jim] R. Callister, Craig W. Pampeyan, pg 36-37

Performance Analysis of the HP 3000 Series 70 Hardware Cache. Measurements and modeling pointed the way to improved performance over the Series 68, by Craig W. Pampeyan, James R. Callister, pg 38-48

Measurement Tools, pg 39

The Series 70: Not Just a Cache, pg 40

How a Cache Works, pg 42

Realistic Cache Simulation, pg 45

February 1987 v.38 n.2

Cover: The detector assembly of the HP 81520A Optical Head

A New Family of Precise, Reliable, and Versatile Fiber Optic Measurement Instruments. The family members are an average power meter, two optical heads, three LED sources, two optical attenuators, and an optical switch, by Michael Fleischer-Reumann, pg 4-5. 8158B, 8154B, 8152A, 8159A, 81521B.

A Color-Coding Scheme for Fiber Optic Instruments and Accessories, pg 5. 81050BL.

Stable LED Sources for a Wide Range of Applications. Three models provide power at 850, 1300 and 1550 nm, by Michael Fleischer-Reumann, pg 6-8. 8154B.

An Accurate Two-Channel Optical Average Power Meter. Accuracy is as high as ±0.15dB. Resolution is 10-pW, by Horst Schweikardt, pg 8-11. 8152A.

Optical Power Meter Firmware Development. Objectives included a friendly operating concept and effective support for the hardware designers, by Bernhard Flade, Michael Goder, pg 12-15. 8152A.

Detectors for Optical Power Measurements. Silicon is best for short wavelengths only. Germanium has broader bandwidth, by Josef Becker, pg 16-21

Precision Optical Heads for 850 to 1700 and 450 to 1020 Nanometers. On-board calibration data and a precision optical interface contribute to accurate measurements, by Hans Huning, Michael Fleischer-Reumann, Siegmar Schmidt, Emmerich Muller, pg 22-27. 8152A, 81521B, 81520A.

Optical Power Splitter, by Siegmar Schmidt, pg 25

A High-Precision Optical Connector for Optical Test and Instrumentation. Key characteristics are reliability, long lifetime, repeatability, temperature stability and low insertion loss, by Wilhelm Radermacher, pg 28-30. Diamond HMS-10/HP.

Design Approach for a Programmable Optical Attenuator. A fiberless design makes the long-wavelength model suitable for both single-mode and multimode applications, by Michael Schlicker, Bernd Maisenbacher, Siegmar Schmidt, pg 31-35. 8158B.

A Programmable Fiber Optic Switch. Its main features are good repeatability and low insertion loss, by Michael Fleischer-Reumann, pg 36. 8159A.

Authors February 1987: Michael Fleischer-Reumann,  Horst Schweikardt, Michael Goder, Bernhard Flade, Josef [Jo] Becker, Johannes [Hans] Huning, Siegmar Schmidt, Emmerich Muller, Wilhelm Radermacher, Michael Schlicker, Bernd Maisenbacher, Louis [Lou] J. Salz, Gleen E. Elmore, pg 37-38

Quality Microwave Measurement of Packaged Active Devices. A special fixture, the HP 8510 Microwave Network Analyzer and the concept of de-embedding provide a solution to a formerly difficult measurement problem, by Louis J. Salz, Glenn E. Elmore, pg 39-48

HP 8510 Software Signal Processing, by Michael Neering, pg 47

March 1987 v.38 n.3

Cover: Model 840/Series 930 Processor, an 8M-byte memory module and a system monitor

Hardware Design of the First HP Precision Architecture Computers. The HP 3000 Series 930 and the HP 9000 Model 840 are implemented with commercial TTL logic, by Allan S. Yeh, Ross V. La Fetra, Edward M. Jacobs, David A. Fotland, Simin I. Boschma, William R. Bryg, John F. Shelton, pg 4-17

An Automated Test System for the First HP Precision Architecture Computers. Besides testing for proper operation, the system gathers specific failure information and generates summary statistics to be used in improving the manufacturing process, by Randy J. Teegarden, Long C. Chow, Thomas B. Wylegala, pg 18-20. HP 9000 Model 840, HP 3000 Series 930.

A Distributed Terminal Controller for HP Precision Architecture Computers Running the MPE XL Operating System. Up to 48 terminals or printers connected to each controller communicate with HP 3000 Series 930 or 950 Computers over an IEEE 802.3 local area network, by Olivier Krumeich, Eric Lecesne, Jean-Pierre Picq, Gregory F. Buchanan, Francois Gaullier, Heng V. Te, pg 21-28. 2345A.

Hewlett-Packard Precision Architecture Compiler Performance. Using a combination of simple instructions, optimized in-line code, and highly specialized Millicode routines, HP Precision Architecture machines perform many complex operations faster than CISC machines, by William B. Buzbee, Karl W. Pettis, pg 29-35

Authors March 1987: Edward [Ed] M. Jacobs, Ross V. La Fetra, Allan S. Yeh, William [Bill] R. Bryg, John F. Shelton, Simin I. Boschma, David [Dave] A. Fotland, Long C. Chow, Randy J. Teegarden, Thomas [Tom] B. Wylegala, Eric Lecesne, Heng V. Te, Jean-Pierre Picq, Gregory [Greg] F. Buchanan, Olivier Krumeich, Francois Gaullier, William [Bill] B. Buzbee, Karl W. Pettis, Zvonko Fazarinc, pg 35-37

Viewpoints: A Viewpoint on Calculus. Presented to the Mathematics Panel of the American Association for the Advancement of Science on April 5, 1986: Should infinitesimal calculus be taught at all?, by Zvonko Fazarinc, pg 38-40

See Also: Reader Forum: Letter from Alain Maruari regarding “A Viewpoint on Calculus”;  letter from author Zvonko Fazarinc in response, page 22-23 in the June 1987 issue

April 1987 v.38 n.4

Cover: Finite element analysis of a printed circuit board subpanel

Digital Signal Generator Combines Digital and Analog Worlds. This new generator provides 24 parallel or two serial data channels, two arbitrary waveform analog channels, or a combination of digital and analog outputs, by Michael Vogt, Friedhelm Brilhaus, Frank Husfeld, Uwe Neumann, pg 4-12. 8175A.

User Interface and Software Architecture for a Data and Arbitrary Waveform Generator. Timing diagrams and arbitrary waveforms are easily created by means of a powerful graphic editor, by Wolfgang Srok, Rudiger Kreiser, Ulrich Hakenjos, pg 12-20. 8175A.

A Planning Solution for the Semiconductor Industry. Semiconductor device manufacturing has several situations that complicate normal production scheduling and medium-range planning. PL-10, part of HP’s Semiconductor Productivity Network, was developed to deal with these peculiarities, by Clemen Jue, Kelly A. Sznaider, Edward L. Wilson, pg 21-27

Authors April 1987: Uwe Neumann, Frank Husfeld, Michael Vogt, Friedhelm Brilhaus, Wolfgang Srok, Rudiger Kreiser, Ulrich Hakenjos, Clemen Jue, Edward [Ed] L. Wilson, Kelly A. Sznaider, John H. Lau, George E. Barrett, Duane E. Wolting, H. Dean Drake, pg 27-28

A Study of Panel Deflection of Partially Routed Printed Circuit Boards. Finite element analysis was used to show that the stress and deflection of partially routed boards during handling will be within allowable limits, by George E. Barrett, John H. Lau, pg 29-34

Deflections, Forces, and Moments of a Printed Circuit Board, pg 33-34

Reliability Theory Applied to Software Testing. The execution-time theory of software reliability is extended to the software testing process by introduction of an accelerating factor. It is shown that the accelerating factor can be determined from repair data and used to make prerelease estimates of software reliability for similar products, by H. Dean Drake, Duane E. Wolting, pg 35-39

Reader Forum: Letter from Yoav Talgam regarding “Hewlett-Packard Precision Architecture: The Processor”, page 4 in the August 1986 issue; letter from Michael J. Mahon regarding RISC-style processor architecture, pg 40

May 1987 v.38 n.5

Cover: HP DesignCenter Mechanical Engineering Series 5 and Series 10 systems

State-of-the-Art CAD Workstations for Mechanical Design. Part of HP’s DesignCenter, the ME Series 5/10 workstations simplify the creation of part drawings and the design of mechanical assemblies. A shared data bases improves communication among designers on a project and the results can be formatted automatically for use by NC manufacturing machinery, by Heinz P. Arndt, Dieter Sommer, Karl-Heinz Werner, Dieter Deyke, Wolfgang Kurz, pg 4-15. Mechanical Engineering.

ME Series 10 Link to HP-FE, by Guenter Voss, pg 14

The ME Series 10 NC Links, by Berthold Hug, pg 15

ME CAD Geometry Construction, Dimensioning, Hatching and Part Structuring. Construction lines and circles aid layout, and dimensioning and hatching adapt automatically to part design changes, by Friedhelm Ottliczky, Harold B. Prince, Heinz Diebel, Karl-Heinz Werner, Stephen Yie, pg 16-29. Mechanical Engineering.

Alpha Site Evaluation of ME Series 5/10. The best way to evaluate a CAD system is to design a real product with it, by Paul Harmon, pg 30-33. Mechanical Engineering, ME Series 10.

Authors May 1987: Karl-Heinz Werner, Dieter Sommer, Dieter Deyke, Wolfgang Kurz, Keinz P. Arndt, Harold [Hal] B. Prince, Friedhelm M. Ottliczky, Stephen Yie, Heinz Diebel, Paul Harmon, Robert [Bob] A. Piety, pg 34

Intrabuilding Data Transmission using Power-Line Wiring. An investigation of the transfer and noise characteristics of intrabuilding power lines has indicated the feasibility of their use for local data communication at data rates greater than 100kbits/s. Within certain constraints, data rates of 1Mbits/s or greater are possible. This paper discusses typical power-line characteristics in the 1-to-20-MHz region and one implementation of a 100-kbits/s spread spectrum data link operating in the 3.5-to-10.5-MHz range, by Robert A. Piety, pg 35-40. Carrier-current communication.

June 1987 v.38 n.6

Cover: HP 45911A Graphics Tablet

Permuted Trace Ordering Allows Low-Cost, High-Resolution Graphics Input. A scheme that substantially reduces the number of trace drivers required provides an inexpensive, but high-performance graphics tablet for HP’s HP-HIL family, by Thomas Malzbender, pg 4-7. Human Interface Link, 45911A.

The Hewlett-Packard Human Interface Link. Connecting human-input devices to personal computers and workstations is simplified by the definition of an interface link that adapts to the devices on the link and allows them to be added or disconnected during operation, by Robert R. Starr, pg 8-12. HP-HIL.

HP-HIL Input Devices, pg 9

Software Verification Using Branch Analysis. Imposing branch coverage requirements on a software testing project can be counterproductive unless a comprehensive branch analysis methodology is followed, by Daniel E. Herington, Paul A. Nichols, Roger D. Lipp, pg 13-22

Advantages of Code Inspections, by Dan Herington, pg 21

Reader Forum: Letter from Alain Maruari regarding “A Viewpoint on Calculus”, page 38 in the March 1987 issue;  letter from author Zvonko Fazarinc in response, pg 22-23

Authors June 1987: Thomas [Tom] Malzbender, Robert [Rob] R. Starr, Paul A. Nichols, Daniel [Dan] Herington, Roger D. Lipp, Yoshio Nishi, Marvin [Marv] L. Watkins, pg 23

Viewpoints: Direction of VLSI CMOS Technology. Will CMOS ICs be the technology driver of the future?, by Yoshio Nishi, pg 24-25

Software Architecture and the UNIX Operating System: An Introduction to Interprocess Communication. Signals, pipes, shared memory, and message queues are some of the facilities provided by the UNIXâ operating system for communication among software modules. The strength and weaknesses of each facility are discussed, by Marvin L. Watkins, pg 26-36

Benchmarking UNIX IPC Facilities, pg 31

July 1987 v.38 n.7

Cover: HP 3709A Constellation Display

Dedicated Display Monitors Digital Radio Patterns. One way of displaying the complex waveforms generated in digital radio systems is the constellation display, a method that allows rapid visual evaluation of a system’s performance, by John R. Pottinger, Murdo J. McKissock, David J. Haworth, pg 4-13. 3709A.

Automated Timing Jitter Testing, by David Robertson, pg 11

Constellation Measurement: A Tool for Evaluating Digital Radio. Statistical analysis of displayed constellation clusters helps determine digital radio impairments, by Murdo J. McKissock, pg 13-17. 3709A.

Authors July 1987: John R. Pottinger, David J. Haworth, Murdo J. McKissock, Geoffrey [Geoff] Waters, Anthony [Tony] Lymer, Dayananda [Daya] K. Rasaratnam, John A. Duff, pg 18

A Digital Radio Noise and Interference Test Set. This instrument facilitates the measurement of the bit error ratio (BER) for a digital communication system under simulated path fade conditions. A desired C/N or C/I ratio can be established and maintained in the presence of received radio signal variations, by Geoffrey Waters, pg 19-26. 3708A.

Noise Crest Factor Enhancement, by Dayananda K. Rasaratnam, pg 22

Noise Bandwidth Measurement, by Ian M. Matthews, pg 23

Microprocessor-Enhanced Performance in an Analog Power Meter. A custom thermal converter and an autozero circuit are key design components, by Anthony Lymer, pg 26-30. 3708A.

An Accurate Wideband Noise Generator and a High-Stability Reference Source. These modules make it possible to adjust the injected noise level automatically to maintain a desired carrier-to-noise ratio, by Dayananda K. Rasaratnam, pg 30-36. 3708A.

General-Purpose Wideband Thick-Film Hybrid Amplifier, by Geoffrey Waters, pg 33-34

Automated Radio Testing Shortens Test Time and Enhances Accuracy. This system can reduce the time required to measure flat fade performance by 60 to 90 percent, by John A. Duff, pg 36-40. 3708A, 3708S.

A Reusable Screen Forms Package, pg 38-39

August 1987 v.38 n.8

Cover: HPL-18C Business Consultant and the HP-28C Scientific Professional Calculator

A Handheld Business Consultant. The latest model in HP’s line of calculators designed for business and financial applications features a menu-driven user interface for selecting any of its many built-in functions or custom equations entered by the user, by Susan L. Wechsler, pg 4-9. HP-18C.

Cash Flow Analysis Using the HP-18C, pg 7

The Equation Solver Menu in the HP-18C, by Paul Swadener, pg 8-9

History and Inspiration of the Solve Interface, by Chris M. Bunsen, pg 10

An Evolutionary RPN Calculator for Technical Professionals. Symbolic algebraic entry, an indefinite operation stack size, and a variety of data types are some of the advancements in HP’s latest scientific calculator, by William C. Wickes, pg 11-17. HP-28C.

HP-28C Plotting, by Gabe L. Eisenstein, pg 15

Mechanical Design of the HP-18C and HP-28C Handheld Calculators. A folding case and two keyboards enhance functionality while reducing label clutter, by Mark A. Smith, Judith A. Layman, pg 17-20

Symbolic Computation for Handheld Calculators. A special operating system was developed to allow processing of a variety of data types from simple numbers to alphanumeric expressions, by Charles M. Patton, pg 21-25. ROM-based procedural language, RPL.

A Multichip Hybrid Printed Circuit Board for Advanced Handheld Calculators. All of the electronics and the display are mounted on a single 1.5-inch by 3-inch board, by Paul R. Van Loan, Robert E. Dunlap, Cornelis D. Hoekstra, Bruce R. Hauge, Chong Num Kwee, pg 25-30. HP-18C, HP-28C.

An Equation Solver for a Handheld Calculator. A combination of direct and iterative solving algorithms is used, by Paul J. McClellan, pg 30-34. HP-18C, HP-28C.

Electronic Design of an Advanced Technical Handheld Calculator. Custom CPU, ROM, and display driver ICs are key elements, by Preston D. Brown, Megha Shyam, Gregory J. May, pg 34-39. HP-28C.

Authors August 1987: Susan L. Wechsler, William [Bill] C. Wickes, Judith [Judi] A. Layman, Mark A. Smith, Charles [Charlie] M. Patton, Chong Num Kwee, Cornelis [Casey] D. Hoekstra, Robert [Bob] E. Dunlap, Bruce R. Hauge, Paul R. Van Loan, Paul J. McClellan, Megha Shyam, Preston D. Brown, Gregory [Greg] J. May, pg 39-40

September 1987 v.38 n.9

Cover: Processor boards from the HP 9000 Model 825 Computer (smaller board) and the HP 9000 Model 850S/HP 3000 Series 950 (larger board) and an unmounted pin-grid array package housing an NMOS-III VLSI chip

A VLSI Processor for HP Precision Architecture. The processor uses a set of ten custom VLSI chips fabricated in HP’s high performance NMOS-III technology, by Darius F. Tanksalvala, Steven T. Mangelsdorf, Darrell M. Burns, Paul K. French, Charles R. Headrick, pg 4-11

Pin-Grid Array VLSI Packaging, by John E. Moffatt, Asad Aziz, pg 10-11

HP Precision Architecture NMOS-III Single-Chip CPU. The chip implements all 140 of the architecture’s instructions on an 8.4-mm-square die containing 115,000 transistors, by Eric R. Delano, Jeffry D. Yetter, Mark A. Forsyth, William S. Jaffe, Jonathan P. Lotz, pg 12-18

Execution Unit, by Jeffry D. Yetter, pg 13-14

A Precision Clocking System, by William S. Jaffe, pg 17

Design, Verification, and Test Methodology for a VLSI Chip Set. Delivering ten complex chips concurrently takes more than a casual approach to organization and planning, by Tony W. Gaddis, Daniel L. Halperin, Stephen R. Undy, Charles Kohlhardt, Robert A. Schuchard, pg 18-26.

VLSI Test Methodology, by Don Weiss, pg 24-25

A Midrange VLSI Hewlett-Packard Precision Architecture Computer. It’s designed for mechanical and electrical computer-aided design, computer integrated manufacturing, real-time control, and general-purpose technical applications, by Robert J. Horning, Howell R. Felsenthal, Russell W. Mason, Thomas O. Meyer, Mark A. Ludwig, Thomas V. Spencer, Leith Johnson, Craig S. Robinson, pg 26-34. HP 9000 Model 825.

[Authors:] Darius F. Tanksalvala, Steven [Steve] T. Mangelsdorf, Charles [Bob] R. Headrick, Paul K. French, Darrell M. Burns, Eric R. DeLano, Jeffry [Jeff] D. Yetter, Mark A. Forsyth, Jonathan [Jon] P. Lotz, William [Bill] S. Jaffe, Stephen [Steve] R. Undy, Robert [Bob] A. Schuchard, Tony W. Gaddis, Charles [Charlie] Kohlhardt, Daniel [Dan] L. Halperin, Thomas [Tom] O. Meyer, Howell R. Felsenthal, Thomas [Tom] V. Spencer, Mark A. Ludwig, Russell [Russ] W. Mason, Robert [Bob] J. Horning, Craig S. Robinson, Leith Johnson, Richard Chin, Gerald [Gerry] R. Gassman, Marlin Jones, Ayee Goundan, Robert [Bob] D. Odineal, Michael [Mike] W. Schrempp, pg 35-37

VLSI-Based High-Performance HP Precision Architecture Computers. The same system processing unit powers two computer systems, one running the MPE XL operating system for commercial data processing and one running the HP-UX operating system for technical and real-time applications, by Robert D. Odineal, Marlin Jones, Ayee Goundan, Michael W. Schrempp, Gerald R. Gassman, Richard Chin, pg 38-48. HP 9000 Model 850S, HP 3000 Series 950.

October 1987 v.38 n.10

Cover: A CAD system simulation of the 82240A printer’s paper door rotation

In-service Transmission Impairment Testing of Voice-Frequency Data Circuits. This transmission impairment measuring set operates on the live modem signal instead of special test signals. It warns of problems while the channel is in service, so preventive maintenance can be scheduled, by Norman Carder, W. Gordon Rhind, James H. Elliott, David W. Grieve, William I. Dunn, pg 4-15. 4948A.

Processing Passband Signals in Baseband, pg 11

LMS Algorithm for Equalizer Update, pg 13

Digital Phase-Locked Loops, pg 15

An Infrared Link for Low-Cost Calculators and Printers. Since the sender of this unidirectional link gets no feedback from the receiver, allowances must be made for worst-case conditions, by Robert S. Worsley, Bruce A. Stephens, Steven L. Harper, pg 16-21.

A Low-Cost Wireless Portable Printer. Based on a unidirectional infrared transmission path, this small thermal printer can provide hard copy of HP-18C and HP-28C calculations, by David L. Smith, Masahiko Muranami, pg 21-23. 82240A.

Manufacturing State-of-the-Art Handheld Calculators. Robots and special fixturing help keep costs low, by Richard W. Riper, pg 24-27. HP-18C, HP-28C.

Information Technology and Medical Education. This paper discusses the use of information technology in an experimental curriculum at Harvard Medical School and describes several of the computer-based educational modules that have been developed for the program, by Ethan A. Foster, Gordon T. Moore, M.D., G. Octo Barnett, M.D., Judith L. Piggins, pg 28-35. Harvard Medical School.

Authors October 1987: Norman Carder, William [Ian] I. Dunn, David W. Grieve, James [Jim]  H. Elliott, W. Gordon Rhind, Robert [Bob] S. Worsley, Bruce A. Stephens, Steven [Steve] L. Harper, Masahiko [Jack] Muranami, David [Dave] L. Smith, Richard [Rick] W. Riper, G. Octo Barnett, Gordon T. Moore, Judith [Judy] L. Piggins, Ethan A. Foster, Robin M. Gallimore, Derek Coleman, pg 35-36

A Framework for Program Development. This paper present a framework for recording a software design activity as a directed acyclic design graph, where each node denotes a fragment of the design and each arrow represents some kind of design decision that has been made, by Robin M. Gallimore, Derek Coleman, pg 37-40. Framework for Program Development, FPD.

November 1987 – no issue published. As of December 1987, the Hewlett-Packard Journal will be published six times a year, in December, February, April, June, August and October.

December 1987 v.38 n.11

First bimonthly issue. As of December 1987, the Hewlett-Packard Journal will be published six times a year, in December, February, April, June, August and October.

Cover: A three-dimensional model of a type of signal used in modern radar systems – the frequency chirp

Vector Signal Generation and Analysis. This issue contain several articles describing the development of the HP 8780A Vector Signal Generator and the HP 8980A Vector Analyzer. To appreciate the nature of these products it is useful to discuss some of the concepts of vector modulation and its applications, by Allen P. Edwards, pg 4-5

Hardware System Design for a Vector Analyzer, by Andrew H. Naegeli, Juan Grau, pg 6-17. 8980A.

Quadrature and Phase Errors in Vector Demodulation, by Juan Grau, pg 15

Firmware System Design for a Vector Analyzer, by Stanley P. Woods, Brian S. Messenger, Peter H. Fisher, pg 17-24. 8980A.

Vector Modulation in a Signal Generator. The HP 8780A offers a wide variety of modulation in both analog and digital formats. By combining the different modulation types, diverse signals such as Doppler-shifted QPSK for satellite communication can be simulated, by David L. Gildea, Donald R. Chambers, pg 25-29

Firmware for a Vector Signal Generator, by Eric D. McHenry, James E. Jensen, pg 30-33. 8780A.

Low-Noise Synthesizer Design, by Thomas J. Carey, John C. Lovell, Thomas L. Grisell, pg 34-38. 8780A.

Digital and Vector Baseband Circuits for a Vector Signal Generator. By using a vector modulator instead of conventional amplitude and frequency modulators, the HP 8780A has the ability to generate complex digital and vector modulations. The baseband system is vastly different from those found in conventional signal generators. This system also provides the instrument with some special features, by Chung Y. Lau, pg 39-44

A GaAs IC Current Switch, pg 41

Describing Signals in the I-Q Domain, pg 42-43

A Wideband FM Subsystem for a Low-Noise Synthesizer Module, by Eric D. McHenry, pg 45-48. 8780A.

Vector Modulator, Output Amplifier, and Multiplier Chain Assemblies for a Vector Signal Generator, by Mark J. Woodward, Eric B. Rodal, Wayne M. Kelly, Pedro A. Szente, James D. McVey, pg 48-52. 8780A.

Baseband Calibration, by Paul S. Stafford, pg 50

A Combinational Board Test System. The HP 3065AT Tester provides a completely integrated set of resources for testing analog, hybrid, and digital circuits incorporating surface mounted devices, application specific ICs and VLSI circuits, by Michael E. Gravitz, pg 53-64

Interactive Graphical Debugging Package, by George Booth, pg 58-59

Authors December 1987: Allen P. Edwards, Juan Grau, Andrew [Andy] H. Naegeli, Stanley [Stan] P. Woods, Brian S. Messenger, Peter H. Fisher, David [Dave] R. Gildea, Donald [Don] R. Chambers, James [Jim] E. Jensen, John C. Lovell, Thomas [Tim] [sic] J. Carey, Thomas [Tom] L. Grisell, Chung Y. Lau, Eric D. McHenry, Pedro [Pete] A. Szente, Eric B. Rodal, Mark J. Woodward, James [Jim] D. McVey, Wayne M. Kelly, Michael [Mike] E. Gravitz, Alan J. Kondoff, John R. Busch, Darryl Ouye, Keith Keilman, Daniel [Dan] J. Magenheimer, Arndt [Arne] B. Bergh, James [Jim] A. Miller, pg 65-67

MPE XL: The Operating System for HP’s Next Generation of Commercial Computer Systems. MPE XL is a new commercial operating system developed for HP Precision Architecture computer systems. It provides fundamental advances in operating system technology and helps users migrate to the new systems by providing maximum compatibility with existing systems, by Darryl Ouye, John R. Busch, Alan J. Kondoff, pg 68-86

HP 3000 Emulation on HP Precision Architecture Computers. Two software subsystems for HP Precision Architecture machines provide program execution that duplicates that of HP 3000s running the MPE V operating system, by Daniel J. Magenheimer, Arndt B. Bergh, Keith Keilman, James A. Miller, pg 87-89

Index: Volume 38 January 1987 through December 1987. PART 1: Chronological Index, pg 90-91. PART 2: Subject Index, pg 91-94. PART 3: Product Index, pg 95. PART 4: Author Index, pg 95-96.

1986 – HP Journal Index

January 1986 v.37 n.1

Cover: High-Precision Architecture

Compilers for the New Generation of Hewlett-Packard Computers. Compilers are particularly important for the reduced-complexity, high-precision architecture of the new machines. They make it possible to realize the full potential of the new architecture, by Jon W. Kelley, Deborah S. Coutant, Carol L. Hammond, pg 4-18. Spectrum program.

See Also: Reader Forum: Letter from D. ing [sic] Dejan Claud regarding “Compilers for the New Generation of Hewlett-Packard Computers”; letter from Michael J. Mahon regarding LOAD executions, page 44 in the August 1986 issue.

Components of the Optimizer, by Suneel Jain, pg 6-7

An Optimization Example, pg 16-17

Authors January 1986: John W. Kelley, Deborah [Debbie] S. Coutant, Carol L. Hammond, Thomas [Tom] H. Daniels, John Fenoglio, Steven [Steve] T. Van Voorhis, Emil Maghakian, Frances [Frank] E. Bockman, Jorge Sanchez, Daniel [Dan] B. Harrington, pg 18-19

A Stand-Alone Measurement Plotting System. This compact laboratory instrument serves as an X-Y recorder, a low-frequency waveform recorder, a digital plotter, or a data acquisition system, by Thomas H. Daniels, John Fenoglio, pg 20-24. 7090A.

Eliminating Potentiometers, by Stephen D. Goodman, pg 22-23

Digital Control of Measurement Graphics. Putting a microprocessor in the servo loop is a key feature. A vector profiling algorithm is another, by Steven T. Van Voorhis, pg 24-26. 7090A.

Measurement Graphics Software. This package simplifies measuring, recording, plotting, and annotating low-frequency phenomena, by Francis E. Bockman, Emil Maghakian, pg 27-32. 7090A, 17090A.

Analog Channel for a Low-Frequency Waveform Recorder. No potentiometers are used in this design that automatically zeros and calibrates its input ranges, by Jorge Sanchez, pg 32-35. 7090A.

Usability Testing: A Valuable Tool for PC Design. Evaluating the experiences of users unfamiliar with a new computer product can provide valuable guidance to the designer and the documentation preparer, by Daniel B. Harrington, pg 36-40.

February 1986 v.37 n.2

Cover: A photomicrograph of a gallium arsenide sampler chip

Gallium Arsenide Lowers Cost and Improves Performance of Microwave Counters. A proprietary GaAs sampling integrated circuit is the basis for a new family of microwave counters that operate up to 40 GHz, by Scott R. Gibson, pg 4-10. 5350/51/52A

Creating Useful Diagnostics, by Sally Martin, pg 5

Manufacturing Advances, by Tom Beckman, pg 7

A New Power Transformer, by Bo Garrison, pg 9

Optimum Solution for IF Bandwidth and LO Frequencies in a Microwave Counter. Inequalities involving the IF bandwidth and LO frequencies were used as constraints in a computer-solved linear programming problem, by Luiz Peregrino, pg 11-14

Seven-Function Systems Multimeter Offers Extended Resolution and Scanner Capabilities. This new 3 1/2 to 6 1/2 digit DMM measures frequency and period as well as dc and ac voltage, dc and ac current, and resistance. Extended resolution provides an extra digit, by Thomas G. Rodine, Joseph E. Mueller, Scott D. Stever, Ronald K. Tuttle, Douglas W. Olsen, pg 15-23. 3457A.

Advanced Scalar Analyzer System Improves Precision and Productivity in R&D and Production Testing. This “voltmeter for the microwave engineer” measures insertion loss and gain, return loss, and power quickly and accurately, by Frederic W. Woodhull II, John C. Faick, Kenneth A. Richter, Joseph Rowell, Jr., Jacob H. Egbert, Douglas C. Bender, Keith F. Anderson, pg 24-38. 8757A.

Filter Measurement with the Scalar Network Analyzer, pg 25

Scalar Analyzer System Error Correction, pg 29-30

Calibrator Accessory, pg 33

Voltage-Controlled Device Measurements, pg 37

Authors February 1986: Scott R. Gibson, Luiz [Lou] Peregrino, Thomas [Tom] G. Rodine, Ronald [Ron] K. Tuttle, Douglas [Doug] W. Olsen, Joseph [Joe] E. Mueller, Scott D. Stever, Douglas [Doug] C. Bender, Jacob [Jake] H. Egbert, Kenneth A. Richter, Frederick [Fred] W. Woodhull II, John C. Faick, Keith F. Anderson, Joseph Rowell, Jr., pg 39-40

March 1986 v.37 n.3

Cover: The HP Flight Planner/Flight Simulator application

An Introduction to Hewlett-Packard’s AI Workstation Technology. Here is an overview of HP artificial intelligence workstation research efforts and their relationship to HP’s first AI product, a Common Lisp Development Environment, by Martin R. Cagan, pg 4-14

HP’s University AI Program, by Seth G. Fearey, pg 7

A Defect Tracking System for the UNIX Environment. Created in response to a lack of effective defect tracking and analysis tools for software development, DTS in now used by 24 HP divisions, by Steven R. Blair, pg 15-18.

A Toolset for Object-Oriented Programming in C. Object-oriented programming seeks to encapsulate entities in a program into objects, methods, and messages. It is useful for writing highly dynamic software that is well-structured and easily maintainable. This paper presents a set of tools that support object-with-methods-data structuring, by Gregory D. Burroughs, pg 19-23

Appendix: The following program fragment is the example from the accompanying paper as it would appear to the program designer, pg 23

Tools for Automating Software Test Package Execution. Developed by one HP Division and now used by others, these two tools reduce the time it takes to develop test packages and make it easy to reuse test packages in regression testing, by Craig D. Fuget, Barbara J. Scott, pg 24-28. Virtual Terminal, Scaffold Test Package Automation Tool and Test Package Standard.

Using Quality Metrics for Critical Application Software. Software metrics have been used to evaluate the quality of a computer-based medical device produced by a large-scale software development project, by William T. Ward, pg 28-31

P-PODS: A Software Graphical Design Tool. P-PODS enforces formal software design, allows designs to be maintained on-line and produces output suitable for design walkthroughs, by Robert W. Dea, Vincent J. D’Angelo, pg 32-35

Triggers: A Software Testing Tool. Triggers as a software testing methods focuses on testing the boundary conditions of the software, and enables the software tester to be more productive, by John R. Bugarin, pg 35-36

Hierarchy Chart Language Aids Software Development. HCL is used by software designers at several Hewlett-Packard Divisions to speed up the process of generating hierarchy charts, by Bruce A. Thompson, David J. Ellis, pg 37-42

Module Adds Data Logging Capabilities to the HP-71B Computer. This 64K-byte plug-in ROM offers new BASIC language keywords for control of a battery-powered data acquisition and control unit and nine application programs for data capture, presentation, and transmission to host computers, by James A. Donnelly, pg 43-46. 3421A.

System Monitor Example, pg 45

Authors March 1986: Martin [Marty] R. Cagan, Steven [Steve] R. Blair, Gregory [Greg] D. Burroughs, Craig D. Fuget, Barbara J. Scott, William [Jack] T. Ward, Robert [Bob] W. Dea, Vincent [Vince] J. D’Angelo, John R. Bugarin, Bruce A. Thompson, David J. Ellis, James [Jim] A. Donnelly, pg 47-48

April 1986 v.37 n.4

Cover: The seven hybrid circuits in each instrument of the HP 54110D

A Data Acquisition System for a 1-GHz Digitizing Oscilloscope. Random repetitive sampling yields an equivalent digitizing rate of 100 GHz for repetitive signals, by Kenneth Rush, Danny J. Oldfield, pg 4-11.54100A/D.

General-Purpose 1-GHz Digitizing Oscilloscopes, by Arthur W. Porter, pg 5-6

High-Performance Probe System for a 1-GHz Digitizing Oscilloscope. Because a typical system includes more than one class of signal, a versatile probe system is a must, by Arnold S. Berger, Kenneth Rush, William H. Escovitz, pg 11-19. 54100A/D.

Waveform Graphics for a 1-GHz Digitizing Oscilloscope. Fast plotting algorithms approximate the feel of an analog oscilloscope, by Rodney T. Schlater, pg 20-25. 54100A/D.

Hardware Implementation of a High-Performance Trigger System. The trigger system resembles the front end of a logic analyzer, by Scott A. Genther, Eddie A. Evel, pg 26-33. 54100A/D.

1-GHz Digitizing Oscilloscope Uses Thick-Film Hybrid Technology. some new processes were developed and some old ones were improved, by Derek E. Toeppen, pg 33-36. 54100A/D.

A Modular Power Supply. A primary assembly drives two switching mode dc-to-dc converters, by Jimmie D. Felps, pg 37-39. 54100A/D.

Program Helps Teach Digital Microwave Radio Fundamentals. The student is able to observe a model communications system and see the results of noise, fading and nonlinearities, by Christen K. Pedersen, pg 40-46. IQ Tutor, I·Q Tutor, 11736A.

Authors April 1986: Danny [Dan] J. Oldfield, Kenneth [Ken] Rush, Arnold [Arnie] S. Berger, William [Bill] H. Escovitz, Rodney [Rod] T. Schlater, Scott A. Genther, Eddie [Ed] A. Evel, Derek Toeppen, Jimmie [Jim] D. Felps, Christen [Chris] K. Pedersen, pg 47-48

May 1986 v.37 n.5

Cover: 61016A screen image reflected on other instruments

Low-Cost Automated Instruments for Personal Computers. Designed for the automated test and measurement requirements of a wide range of technical professionals, the components of this personal computer-based system include eight of the most widely used electronic instruments in modular, stackable cases, by William T. Walker, Charles J. Rothschild 3rd, Robert C. Sismilich, pg 4-10. HP PC Instruments

PC Instruments Modules, by Allan Levine, pg 6

Instrumentless Front-Panel Program Demonstrates Product Concept, by Robert C. Sismilich, pg 7

Versatile Microcomputer is Heart of PC Instruments Oscilloscope Module, by Dennis J. Weller, pg 8

Mechanical and Industrial Design of the PC Instruments Cabinet, by George Kononenko, David Schlesinger, pg 10

PCIB: A Low-Cost, Flexible Instrument Control Interface for Personal Computers. Two independent channels for serial and parallel communication are key to its design, by William L. Hughes, Kent W. Luehman, pg 11-16

A Custom HQMOS Bus Interface IC, by Diana G. Bostick, Ricky L. Pettit, pg 14-15

Interactive Computer Graphics for Manual Instrument Control. Using a PC’s CRT screen as an instrument’s front panel simplifies control and lowers the instrument’s cost, by William T. Walker, Robert C. Sismilich, pg 17-26. HP PC Instruments.

Mouse in Danger: Managing Graphics Objects, by Daniel J. Martin, pg 20

Oscilloscope Software Leverages Previous Concepts and Algorithms, by Helen Muterspaugh, Mimi Beaudoin, pg 22

Automated Testing of Interactive Graphics User Interfaces, by Buck H. Chan, pg 24-25

Industrial Design of Soft Front Panels, by David Schlesinger, pg 26

HP-IB Command Library for MS-DOS Systems. PC users can now control and use high-performance instruments with this software package and an appropriate HP-IB 9IEEE 488/IEC 625) interface, by David L. Wolpert, pg 27-29

Case Study: PC Instruments Counter Versus Traditional Counters. Combining the power of a personal computer with the measurement capability of a low-cost module with no front-panel controls of its own can be an attractive alternative to using traditional instruments for the owner of a personal computer, by Edward Laczynski, Robert V. Miller, pg 29-32. 61015A.

Reciprocal Counting in Firmware, by Robert V. Miller, pg 32

Salicide: Advanced Metallization for Submicrometer VLSI Circuits. A self-aligned titanium silicide process can be used to provide lower contact and interconnect resistances in VLSI circuits if one accounts for the effects of impurities, dopant redistribution, phase formation, and grain growth, by Jun Amano, pg 33-39. Self-aligned silicide.

Authors May 1986: Charles [Charlie] J. Rothschild 3rd, Robert [Bob] C. Sismilich, William [Bill] T. Walker, William [Bill] L. Hughes, Kent W. Luehman, David [Dave] L. Wolpert, Edward [Ed] Laczynski, Robert [Bob] V. Miller, Jun Amano, pg 39-40

June 1986 v.37 n.6

Cover: Integrated circuit artwork drawn automatically by a silicon complier

Integrated Circuit Procedural Language. ICPL is a Lisp-embedded procedural layout language for VLSI design. Circuit design in ICPL involves writing and working with programs that resemble procedures, take parameters, and can use the full symbolic programming power of Lisp. This allows circuit designers to write high-level software that procedurally builds ICs, by Paul K. Yip, Jeffrey A. Lewis, Andrew A. Berlin, Allan J. Kuchinsky, pg 4-10

Knowledge-Assisted Design and the Area Estimation Assistant, by Benjamin Y.M. Pan, Michael How, Allan J. Kuchinsky, pg 8-9

New Methods for Software Development: System for Just-in-Time Manufacturing. New approaches in prototyping, next-bench involvement, performance modeling, and project management created a high-quality software product in the absence of standards or existing systems, by Robert A. Passell, Raj K. Bhargava, Teri L. Lombardi, Alvina Y. Nishimoto, pg 11-18. HP JIT.

Comparing Manufacturing Methods, pg 13

Authors June 1986: Allan J. Kuchinsky, Paul K. Yip, Andrew [Andy] A. Berlin, Jeffrey [Jeff] A. Lewis, Alvina Y. Nishimoto, Robert [Bob] A. Passell, Teri L. Lombardi, Raj Bhargava, Raymond [Ray] G. O’Connell, Paul A. Magnin, James Chen, Leslie [Les] I. Halberg, Karl E. Thiele, Sydney [Syd] Karp, Barry F. Hunt, David [Dave] C. Hempstead, Steven [Steve] C. Leavitt, , pg 18-19

The Role of Doppler Ultrasound in Cardiac Diagnosis. In ultrasound imaging, a pulse of acoustic energy is transmitted into the human body and the strengths of the returning echoes from various organs and tissues are used to form an image on a display screen. Further information about blood flow and movement can be gained by measuring the shifts in the frequency of the echoes, by Raymond G. O’Connell, Jr., pg 20-25

Correction: The photographs in figure 3 on page 22, figure 4 on page 23, and figure 5 on page 24 in the article “The Role of Doppler Ultrasound in Cardiac Diagnosis”, were reproduced without any gray tones, page 22 in the August 1986

Doppler Effect: History and Theory. Data about blood flow anomalies can be obtained by observing the shift in frequency of ultrasonic imaging pulse echoes, by Paul A. Magnin, pg 26-31

Power and Intensity Measurements for Ultrasonic Doppler Imaging Systems. Carefully controlling the acoustic energy transmitted into the human body requires accurate analysis methods, by James Chen, pg 31-34.

See Also: Correction: A paragraph on page 34 in the article “ Power and Intensity Measurements for Ultrasonic Doppler Imaging Systems”, was omitted, page 41 in the July 1984 issue

Extraction of Blood Flow Information Using Doppler-Shifted Ultrasound. Frequency shifts in ultrasonic echoes are detected by means of specially designed filters and a quadrature sampler, by Karl E. Thiele, Leslie I. Halberg, pg 35-40. 77410A.

Continuous-Wave Doppler Board, by Rich Jundanian, pg 37

Observation of Blood Flow and Doppler Sample Volume, by Al Tykulsky, pg 39

Modifying an Ultrasound Imaging Scanner for Doppler Measurements. Changes in timing, more precise focusing, processing enhancements, and power-limiting software had to be developed, by Sydney M. Karp, pg 41-44. 77020A, 77200B.

Digital Processing Chain for a Doppler Ultrasound Subsystem. Time-domain quadrature samples are converted into a gray-scale spectral frequency display using a fast Fourier transform, moment calculations, and digital filtering, by David C. Hempstead, Barry F. Hunt, Steven C. Leavitt, pg 45-48. 77410A.

July 1986 v.37 n.7

Cover: HP’s briefcase-portable computers: The Portable and the Portable Plus

Design of HP’s Portable Computer Family. The Portable and Portable Plus Computers are compact, lightweight, battery-powered personal computers with built-in software and 80-character-line liquid-crystal displays designed for use by professionals who need portable computing capability in their work, by Courtney Loomis, Ella M. Duyck, Carl B. Lantz, John T. Eaton, Clifford B. Cordy, Jr., James W. Pearson, Michael J. Barbour, pg 4-13

Inside the LCDs for the Portable and Portable Plus, by Glenn Adler, pg 6-7

Low-Power Modes for Portable Computers, by Alesia Duncombe, pg 10-11

Hollow Studs for Package Assembly, pg 13

I/O and Data Communications in Portable Computers. Low-power consumption and small size are major design constraints for built-in modems and interfaces, by Andrew W. Davidson, Harold B. Noyes, pg 14-17. Portable, Portable Plus.

Personal Applications Manager for HP Portable Computers. PAM simplifies use of the Portable and Portable Plus Computers, even if the user is unfamiliar with MS-DOS commands, by Robert B. May, Alesia Duncombe, pg 18-21

Memory Management for Portable Computers. Handling a large system memory with electronic discs and built-in application ROMs requires clever control, by Mark S. Rowe, pg 21-25. Portable, Portable Plus.

A Hybrid Solution for a 25-Line LCD Controller. A hybrid design significantly reduces the space required while accommodating the needs of a larger display, by Glenn J. Adler, pg 25-27. Portable Plus.

Creating Plug-In ROMs for the Portable Plus Computer. An HP software package makes it easier for users to develop their own applications ROMs for the Portable Plus, by William R. Frolik, pg 28-30

Structure of a Plug-In ROM, pg 29

Authors July 1986: Carl B. Lantz, Michael [Mike] J. Barbour, James [Jim] W. Pearson, Courtney Loomis, Ella M. Duyck, John T. Eaton, Clifford [Cliff] B. Cordy, Jr., Harold B. Noyes, Andrew [Andy] W. Davidson, Alesia Duncombe, Robert [Bob] B. May, Mark S. Rowe, Gleen J. Adler, William [Bill] R. Frolik, Robert [Bob] J. Schneider, David L. Frydendall, Robert [Bob] M. Lenk, Bonnie Dykes Stahlin, Andrew [Drew] G. Anderson, Robert [Rob] D. Gardner, Ronald [Ron] G. Tolley, James [Jim] M. Umphrey, Jeffrey [Jeff] Tomberlin, Jeffrey [Jeff] H. Smith, Gordon A. Jensen, Stephen [Steve] P. Reames, Jerry D. Morris, pg 31-33

New HP-UX Features for HP 9000 Series 300 Workstations. The capabilities of the HP-UX operating system have been extended in the Series 300 implementation to handle real-time applications, communication with X.25 networks, and operating in native language, by David L. Frydendall, Bonnie Dykes Stahlin, Robert D. Gardner, Robert M. Lenk, Ronald G. Tolley, Andrew G. Anderson, Robert J. Schneider, pg 34-41

See Also: Reader Forum: Letter from John P. Chambers regarding local customs mentioned in “New HP-UX Features for HP 9000 Series Workstation”; letter from author Ronald G. Tolley regarding Native Language Support discussed in the same article, page 32 in the December 1986 issue.

Correction: A paragraph on page 34 in the article “ Power and Intensity Measurements for Ultrasonic Doppler Imaging Systems”, page 31 in the June 1986 issue, was omitted, pg 41

A Protocol Analyzer for Local Area Networks. This new analyzer allows 10 Mbit/s network monitoring, testing, and performance analysis independent of hardware and software composition. It permits a user to view network traffic, simulate node-to-node or network-wide traffic, and derive network statistics, by Gordon A. Jensen, Jeffrey H. Smith, Jeffrey Tomberlin, Stephen P. Reames, James M. Umphrey, Jerry D. Morris, pg 42-48. 4971S.

August 1986 v.37 n.8

Cover: A “block diagram” representing the HP Precision Architecture execution engine

Hewlett-Packard Precision Architecture: The Processor. This article describes the architecture’s basic organization, executive model, control flow model, addressing and protection model, functional operations, and instruction format and encoding, by William R. Bryg, Ruby Bei-Loh Lee, Michael J. Mahon, Jerome C. Huck, Terrence C. Miller, pg 4-21

See Also: Reader Forum: Letter from Yoav Talgam regarding “Hewlett-Packard Precision Architecture: The Processor”; letter from Michael J. Mahon regarding RISC-style processor architecture, page 40 in the April 1987 issue.

Floating-Point Coprocessor, pg 8-9

HP Precision architecture Caches and TLBs, pg 16-17

Authors August 1986: William [Bill] R. Bryg, Ruby Bei-Loh Lee, Michael J. Mahon, Jerome C. Huck, Terrence C. Miller, David [Dave] V. James, Stephen G. Burger, Robert [Bob] D. Odineal, Joseph [Tony] A. Lukes, Daniel [Dan] J. Magenheimer, pg 21-22

Correction: The photographs in figure 3 on page 22, figure 4 on page 23, and figure 5 on page 24 in the article “The Role of Doppler Ultrasound in Cardiac Diagnosis”, page 20 in the June 1986 issue, were reproduced without any gray tones, pg 22

“In printing the June 1986 issue, the photographs in Fig. 3 on page 22, Fig. 4 on page 23, and Fig. 5 on page 24 were reproduced without any gray tones…”, pg 22

Hewlett-Packard Precision Architecture: The Input/Output System. A simple, uniform architecture satisfies the I/O needs of large and small systems, and provides flexibility for future enhancements, by Robert D. Odineal, David V. James, Stephen G. Burger, pg 23-30

Hewlett-Packard Precision Architecture Performance Analysis. Performance analysis was crucial to instruction set selection, CPU design, MIPS determination, and system performance measurement, by Joseph A. Lukes, pg 30-39

The HP Precision Simulator. Designed for flexibility, portability, speed and accuracy, the simulator is useful for both hardware and software development, by Daniel J. Magenheimer, pg 40-43

Remote Debugger, by Dan Magenheimer, pg 43

Reader Forum: Letter from D. ing [sic] Dejan Claud regarding “Compilers for the New Generation of Hewlett-Packard Computers”, page 4 in the January 1986 issue; letter from Michael J. Mahon regarding LOAD executions, pg 44

September 1986 v.37 n.9

Cover: The Series 300 offers a range of options that would bewilder the typical PC buyer

Advanced Modular Engineering Workstations. This workstation system allows the user to choose the processor, display system, memory, interface cards, peripherals, and operating system most appropriate for the application, by Daryl E. Knoblock, John C. Keith, Michael K. Bowen, Gilbert I. Sandberg, Ronald P. Dean, pg 4-9. HP 9000 Series 300.

Modular Computer Low-End Processor Board Design. This single-board computer operates at 10 MHz and contains integral I/O interfaces, RAM, boot ROM, and bit-mapped display electronics, by Nicholas P. Mati, Martin L. Speer, pg 9-12. HP 9000 Model 310.

High-Performance SPU for a Modular Workstation Family. Users needing more performance than that provided by the SPU described in the preceding article can upgrade their system with this SPU running at 16.67 MHz and containing a 16K-byte cache memory, by Jonathan J. Rubinstein, pg 12-16. HP 9000 Model 320.

Custom VLSI Circuits for Series 300 Graphics. Two chips, a display controller and a color map and video DAC, reduce costs while improving the performance of bit-mapped, medium and high resolution, monochrome and color displays, by Richard E. Warner, David J. Hodge, James A. Brokish, pg 17-22.

Display Custom IC Design Methodology, by Bruce P. Bergmann, Kenneth P. Sandberg, pg 20

Software Compatibility for Series 200 and Series 300 Computers. Several software obstacles exist for the Series 200 user who wants to move to HP’s new family of modular workstations, the HP 9000 Series 300. This article identifies these obstacles and describes the features of BASIC 4.0 (the latest release of HP’s enhanced version of the BASIC language system) designed to overcome them, by Rosemarie Palombo, pg 22-27

Authors September 1986: Gilbert I. Sandberg, Ronald [Ron] P. Dean, Daryl E. Knoblock, John C. Keith, Michael [Mike] K. Bowen, Nicholas [Nick] P. Mati, Martin L. Speer, Jonathan [Jon] J. Rubinstein, David [Dave] J. Hodge, Richard E. Warner, James [Jim] A. Brokish, Rosemarie [Rose] Palombo, Robert [Bob] A. Adams, Kristy Ward Swenson, Amy Tada Mueller, Luis Hurtado-Sanchez, Rebecca A. Dahlberg, pg 27-29

Implementing a Worldwide Electronic Mail System. This paper reports Hewlett-Packard’s experience in the internal implementation of HP’s own electronic mail system product. HP DeskManager. Prospective implementers of electronic mail systems can use this information to increase their likelihood of success, by Robert A. Adams, Kristy Ward Swenson, Rebecca A. Dahlberg, Amy Tada Mueller, Luis Hurtado-Sanchez, pg 30-48

October 1986 v.37 n.10

Cover: A representation of a wide area network linking fanciful cities

Hewlett-Packard and the Open Systems Interconnection Reference Model. The OSI Reference Model of the International Organization for Standardization is seen as the most significant tool for meeting HP’s customers’ needs, by Donald C. Loughry, Gertrude G. Reusser, pg 4-5. ISO.

HP AdvanceNet: A Growth-Oriented Computer Networking Architectural Strategy. Based on the seven-layer ISO OSI model, HP AdvanceNet accommodates old and new protocols in the same network, ensures migration paths to new systems, and provides ease of use and transparency, by Atul Garg, Craig Wassenberg, Lyle A. Weiman, Robert J. Carlson, Arie Scope, pg 6-10. Open Systems Interconnection.

Network Services and Transport for the HP 3000 Computer. NS/3000 provides network services for HP 3000 Computers attached to local area networks. It is compatible with older network products, it is expandable to new network topologies, and it can communicate with other HP computers, by Kevin J. Faulkner, Charles W. Knouse, Brian K. Lynn, pg 11-18. OSI, Open Systems Interconnection.

A Local Area Network for HP Computers. LAN/3000 implements the IEEE 802.2 and 802.3 standards to interconnect HP 3000 Computers to other HP 3000s and to HP 1000 and HP 9000 Computers, by Charles J. de Sostoa, Tonia G. Graham, pg 18-22

Network Services for HP Real-Time Computers. HP 1000 Computers have had networking capabilities for nearly 15 years. The latest network services product conforms to HP AdvanceNet standards and supports local area networks and very large networks, by David M. Tribby, pg 22-27

Networking Services for HP 9000 Computers. Developing a networking service for the members of the HP 9000 family presented a number of design challenges, among them dealing with different microprocessor architectures, the introduction of new members to the family, and being able to communicate with other HP computer products, by J. Christopher Fugitt, Dean R. Thompson, pg 28-32

Connecting NS/9000 and NS/3000, by Tim DeLeon, pg 29

Leaf Node Architecture, by Carl Dierschow, pg 31-32

Authors October 1986: Donald [Don] C. Loughry, Gertrude [Trudy] G. Reusser, Robert [Bob] J. Carlson, Craig Wassenberg, Atul Garg, Lyle A. Weiman, Arie Scope, Kevin J. Faulkner, Brian K. Lynn, Charles W. Knouse, Tonia [Toni] G. Graham, Charles [Chuck] J. de Sostoa, David [Dave] M. Tribby, J. Christopher [Chris] Fugitt, Dean R. Thompson, Pierry Mettetal, Nancy L. Navarro, Timothy [Tim] C. Shafer, Deepak V. Desai, pg 33-35.

X.25 Wide Area Networking for HP Computers. HP provides access to X.25 packet switched networks for HP computers, and in cooperation with another company, can provide entire networks, by Pierry Mettetal, pg 36-40

DMI/3000: A Move Toward Integrated Communication. This product implements AT&T Information Systems’ Digital Multiplexed Interface standard to provide communication between computers and terminals or other computers over private digital telephone networks, by Deepak V. Desai, Timothy C. Shafer, Nancy L. Navarro, pg 41-48

Glossary of DMI Terms, pg 42

Companies Supporting the DMI Standard, pg 47

November 1986 v.37 n.11

Cover: Several mixers with their horn antennas of various sizes for operation in different frequency bands

Molecular-Scale Engineering of Compound Semiconductor Materials. The ever increasing sophistication of semiconductor electronic devices and integrated circuits continues to place increasing demands on the precision with which the underlying semiconductor materials are produced. The development of molecular beam epitaxy allows the highly repeatable growth of compound semiconductor epitaxial films (such as GaAs and AlxGa1-xAs) with atomically abrupt changes in alloy composition and doping and with excellent uniformity by Douglas M. Collins, pg 4-10. MBE, Molecular beam epitaxy.

Compound Semiconductor Alloys and Heterojunctions, pg 6

The Modulation-Doped Heterojunction, pg 8-9

Extending Millimeter-Wave Diode Operation to 110 GHz. Developing more rugged, integratable diode structures is the key, by Sigurd W. Johnsen, Eric R. Ehlers, Douglas A. Gray, pg 10-14

26.5-to-40-GHz Waveguide Detector, by Herb Upham, pg 13

Diode Integrated Circuits for Millimeter-Wave Applications. GaAs diode integrated circuits based on metal-semiconductor (Schottky) or modified barrier diodes have now extended the operating frequency range of small-scale ICs beyond 100 GHz. These circuits, which form the basis for many of HP’s new millimeter-wave instruments, are useful for nonlinear and frequency-translation applications, by Scott S. Elliott, William J. Anklam, George A. Patterson, Mark P. Zurakowski, Domingo A. Figueredo, Susan R. Sloan, pg 14-21

Unbiased Subharmonic Mixers for Millimeter-Wave Spectrum Analysis. These units let you use your microwave spectrum analyzer for measurements up to 110 GHz, by Robert J. Matreci, pg 22-26

Authors November 1986: Douglas [Doug] M. Collins, Sigurd [Sig] W. Johnsen, Eric R. Ehlers, Douglas [Doug] A. Gray, Susan R. Sloan, Mark P. Zurakowski, William [Bill] J. Anklam, Domingo A. Figueredo, Scott S. Elliott, George A. Patterson, Robert [Bob] J. Matreci, Bruce J. Richards, David [Dave] B. Wasmuth, Craig M. Myles, Lynn R. Slater, Jr., Keith A. Harrison, Diane M. Ahart, R. Michael Young, Brian T. Button, Roy M. Vandoorn, George R. Gottschalk, Robert [Bob] I. Marcus, pg 27-29

Predictive Support: Anticipating Computer Hardware Failures. Predictive Support software for the HP 3000 Computer lives on the customer’s system and notifies appropriate personnel of impending failures, by David B. Wasmuth, Bruce J. Richards, pg 30-33

Systems Design for Worldwide Delivery of Customer Support, by Blenda Mariani, pg 32

Logging Event Data in the Trend Log, pg 33

AIDA: An Expert Assistant for Dump Readers. This expert-system-based program increases human readers’ productivity and success rate in HP 3000 memory dump analyses, by Lynn R. Slater, Jr., Keith A. Harrison, Craig M. Myles, pg 34-41. Automatic Interactive Dump Assistant.

What is a Memory Dump? pg 35

A Troubleshooting Aid for Asynchronous Data Communications Links. Schooner is an expert system for fault diagnosis and personnel training on point-to-point datacom links, by Diane M. Ahart, R. Michael Young, Brian T. Button, pg 42-47

Hierarchies, pg 46

A Rule-Based System to Diagnose Malfunctioning Computer Peripherals. The Intelligent Peripheral Troubleshooter, an expert system, currently diagnoses malfunctions in HP disc drives, but other devices will be easy to add to its repertoire, by George R. Gottschalk, Roy M. Vandoorn, pg 48-53. IPT.

Multilevel Constraint Based Configuration. The goal of Mycon, a prototype expert system for configuring computer systems, is to relieve the support engineer of the tedious task of configuration of a customer order, by Robert I. Marcus, pg 54-56

December 1986 v.37 n.12

Cover: Origins of the HP-UX operating system sculpted in plastic

The HP-UX Operating System on HP Precision Architecture Computers. HP-UX is the technical operating system for HP Precision Architecture processors. It’s an extension of AT&T’s UNIX System V.2, by Gary Shiu-Fan Ho, Steven R. Kusmer, John R. Sontag, Frederick W. Clegg, pg 4-22

A UNIX System V Compatible Implementation of 4.2BSD Job Control, by David C. Lennert, pg 9

Decreasing Real-Time Process Dispatch Latency Through Kernel Preemption, by David C. Lennert, pg 13-14

Index: Volume 37 January 1986 through December 1986. PART 1: Chronological Index, pg 23-24. PART 2: Subject Index, pg 24-28. PART 3: Product Index, pg 28-29. PART 4: Author Index,  pg 29-30.

Authors December 1986: John R. Sontag, Gary Shiu-Dan Ho, Steven [Steve] R. Kusmer, Frederick [Fred] W. Clegg, Thomas [Tom] M. Hirata, David E. Singleton, Judson [Jay] E. Veazey, Mark A. Sikes, Michael [Mike] J. Pechulis, Ann M. Koehler, Krishnan [Vish] Vishwanath, Jenny Ng, Alan [A. J.] S. Brown, pg 30-31

Reader Forum: Letter from John P. Chambers regarding local customs mentioned in “New HP-UX Features for HP 9000 Series Workstation”, page 38 in the July 1986 issue; letter from author Ronald G. Tolley regarding Native Language Support discussed in the same article, pg 32

Data Base Management for HP Precision Architecture Computers. HP ALLBASE supports both network and relational data access and runs under both the MPE XL and the HP-UX operating systems. Migration of existing data bases to the new architecture has been carefully planned for, by Krishnan Vishwanath, Judson E. Veazey, Jenny Ng, Michael J. Pechulis, Mark A. Sikes, Thomas M. Hirata, Ann M. Koehler, David E. Singleton, Alan S. Brown, pg 33-48

Data Storage in ALLBASE, pg 46-48

1985 – HP Journal Index

January 1985 v. 36 n.1

Cover: Miniature Optical Bench from the HP 8150A

Optical Stimulus and Receivers for Parametric Testing in Fiber Optics. An optical power source and an optical pulse power meter, both calibrated and programmable, provide reliable device and system testing for the expanding field of fiber optics, by Achim Eckert, Wolfgang Schmid, pg 4-7. 8150A, 8151A.

Handling Fiber Optic Components, by Wolfgang Schmid, pg 6

A Precise, Programmable 850-nm Optical Signal Source. Modulate the output using the internal pulse/function generator or your own external source, by Klaus Hoeing, Bernhard Flade, Wolfgang Schmid, Rainer Eggert, pg 7-18. 8150A.

Laser Safety Practices, pg 8

A Versatile, Programmable Optical Pulse Power Meter. There’s a choice of optical heads for operation at 550 to 950 nm or 950 to 1750 nm, by Werner Berkel, Michael Goder, Josef Becker, Wilfried Pless, Bernd Maisenbacher, Volker Eberle, Hans Huning, pg 18-27. 8151A, 81511A.

An Optical Receiver for 550 to 950nm. This versatile front end expands the measurement capabilities of electronic test equipment into the fiber optic domain, by Gerd Koffmane, Michael Fleischer-Reumann, Emmerich Muller, pg 27-29. 81519A, 8151A.

Optical Standards. Precise secondary standards had to be built to test a new line of instruments, by Joachim Vobis, Werner Berkel, pg 29-30. 8151A, 8150A.

Authors January 1985: Achim Eckert, Bernhard Flade, Wolfgang Schmid, Klaus Hoeing, Rainer Eggert, Hans Huning, Volker Eberle, Josef [Jo] Becker, Michael Goder, Bernd Maisenbacher, Wilfried Pless, Werner Berkel, Emmerich Muller, Michael Fleischer-Reumann, Gerd Koffmane, Joachim Vobis, pg 31-32

February 1985 v.36 n.2

Cover: Magnetostatic-wave delay-line filter

HP TechWriter: Illustrated Documents for Engineers. This document editing software package for HP 9000 Series 200 Computers electronically merges text with pictures from many HP graphics software packages. Text and graphics appear on the screen as they will in the printed document, by Roy E. Anderson, Elaine C. Regelson, pg 4-9

HP TechWriter Security, pg 8

Magnetostatic-Wave Devices for Microwave Signal Processing. By locally perturbing the magnetic dipoles formed by spinning electrons in thin ferrimagnetic films, a propagating wave can be initiated. Devices based on this principle can be used to process microwave signals, by Waguih S. Ishak, Kok-Wai Chang, pg 10-20. MSW, YIG.

Magnetic Resonance and YIG-Sphere Devices, pg 12

Spin Waves and Magnetostatic Waves, pg 14

Disc Caching in the System Processing Units of the HP 3000 Family of Computers. Disc caching uses the excess main memory and processor capacity of the high-end HP 3000s to eliminate a large portion of the disc access delays encountered in an uncached system, by Alan J. Kondoff, John R. Busch, pg 21-39

Disc Cache Performance Tools, pg 23-24

The MPE-IV Kernel, pg 25

Authors February 1985: Roy E. Anderson, Elaine C. Regelson, Waguih S. Ishak, Kok-Wai [Bill] Chang, John R. Busch, Alan J. Kondoff, pg 40

March 1985 v.36 n.3

Cover: HP Maintenance Management, a software package for the HP 3000 Computer

HP Maintenance Management: A new Approach to Software Customer Solutions. Suggested by an HP customer and designed with extensive customer feedback, this HP 3000 software helps cut the cost of equipment maintenance, by Joseph L. Malin, Irving Bunton, Jr., pg 4-10

The Need for Plant Maintenance, pg 9

Development of High-Performance, Half-Inch Tape Drive. The design of a low-cost, high-density tape drive for backup of large amounts of on-line computer system memory requires a sophisticated combination of technologies and careful project planning. This new drive’s greatly improved reliability reduces maintenance costs and downtime, by Richard T. Turley, Hoyle L. Curtis, pg 11-16. 7978A.

LSI Simplifies Tape Drive Electronic Design, by Jimmy L. Shafer, pg 13

System Integration, by Richard T. Turley, pg 15

Write and Read Recovery Systems for a Half-Inch Tape Drive. Besides the necessary erasing, reading and writing functions, it is important to protect the data from accidental alteration or destruction, by Wayne T. Gregory, pg 16-18. 7978A.

Digital Formatting and Control Electronics for Half-Inch Tape Data Storage. Encoding and decoding data transparently in either GCR or PE formats require fairly complex operations. LSI circuits simplify some of the design problems, by Jimmy L. Shafer, 19-24. 7978A.

Streaming Tape Drive Hardware Design. Two microprocessors are required – one for master control and the other for servo control, by David J. Van Maren, Robert D. Emmerich, John W. Dong, pg 25-29. 7978A.

Firmware for a Streaming Tape Drive. Support of queued operations keeps the tape streaming and handles all interactions with the user or the host computer, by Alan J. Richards, John A. Ruf, Bradfred W. Culp, Virgil K. Russon, David W. Ruska, pg 29-31. 7978A.

Authors March 1985: Irving [Irv] Bunton, Jr., Joseph [Joe] L. Malin, Hoyle L. Curtis, Richard [Rick] T. Turley, Wayne [Tom] T. Gregory, Jimmy [Jim] L. Shafer, Robert [Diamond Bob] D. Emmerich, John W. Dong, David [Dave] J. Van Maren, David [Dave] W. Ruska, Bradfred [Brad] W. Culp, Virgil K. Russon, John A. Ruf, Alan J. Richards, Sterling J. Mortensen, Donald [Don] A. DiTommaso, John C. Becker, Craig L. Miller, K. Douglas [Doug] Gennetten, Mark E. Wanger, David [Dave] J. Schmeling, Walter [Walt] L. Auyer, Charles [Chuck] H. McConica, Mark L. Gembarowski, pr 31-33

Low-Cost, Highly Reliable Tape Backup for Winchester Disc Drives. Designed for use on small to midrange computer systems, this new quarter-inch cartridge tape drive packs up to 67 megabytes onto a single cartridge, by Donald A. DiTommaso, Sterling J. Mortensen, John C. Becker, pg 34-36. 9144A.

A Design Methodology for Today’s Customers, by Donald A. DiTommaso, pg 36

Tape/Disc Controller Serves Integrated Peripherals. A fixed disc drive or a quarter-inch tape drive or both can operate in a common environment, by Craig L. Miller, Mark L. Gembarowski, pg 37-39. 9144A.

Cartridge Tape Data Integrity Ensured at Five Levels. The drive has read after write, error correction, and media monitoring capabilities, by K. Douglas Gennetten, pg 39-43. 9144A.

Controlling the Head/Tape Interface. It’s critical to data integrity and unit-to-unit interchangeability, by Charles H. McConica, David J. Schmeling, Mark E. Wanger, Walter L. Auyer, pg 44-47. 9144A.

Software Methodology Preserves Consistency and Creativity. Concepts used include hierarchy charts, structured programming, top-down design, structured walkthroughs, and structured analysis, by Mark L. Gembarowski, pg 47-48. 9144A.

April 1985  v.36.n.4

Cover: HP 2392A Display Terminal

A Low-Cost Compact, Block-Mode Computer Terminal. The design emphasizes ergonomics and very high reliability as well as low cost and compactness, by Michele Prieur, Jean-Louis Chapuis, pg 4-7. 2392A.

A Reliable, Low-Cost Keyboard Interface, by Khambao Panyasak, pg 7

Mechanical Design of a Low-Cost Terminal. Integral display tilt and swivel mechanisms and a detached low-profile keyboard help it adapt to users’ needs, by Michel Cauzid, pg 8-9. 2392A.

VLSI Design in the HP 2392A Terminal. The cost of the CRT control function was reduced by 80% by integrating it in a single VLSI chip, by Jean-Jacques Simon, pg 9-16

A Fast Gate Array Companion for CRT Controller, by Freddie Barbut, pg 13-14

How to Scroll Smoothly, by Richard Brabant, pg 15-16

Fully Automated Production of Display Terminal Printed Circuit Assemblies. DIP and axial inserters install 103 components and a six-axis robot inserts 41 more, by Christian-Marcel Dulphy, pg 16-17. 2392A.

A Low-Cost, Reliable Analog Video Display Terminal Design. A small cabinet with no fan made heat dissipation a major concern, by Rene Martinelli, Jean Yves Chatron, pg 18-22. 2392A.

Authors April 1985: Jean-Louis Chapuis, Michele Prieur, Michel Cauzid, Jean-Jacques Simon, Christian-Marcel Dulphy, Jean-Yves Chatron, Rene Martinelli, Martin L. Stone, Todd L. Russell, Peter L. Ma, Jeffrey [Jeff] W. Groenke, Hatem E. Mostafa, Tammy V. Herr, David [Dave] C. Tribolet, Kenneth [Ken] A. Regas, Thomas [Tom] J. Halpenny, pg 23-24

An Intelligent Plotter for High-Throughput, Unattended Operation. This plotter quickly produces multiple copies of high-quality graphics output for use in presentations and reports. Its high throughput and automatic cut-sheet feeder make it useful for unattended operation in shared environments, by Todd L. Russell, Peter L. Ma, Jeffery W. Groenke, Martin L. Stone, pg 25-29. 7550A.

Low-Mass, Low-Cost Pen-Lift Mechanism for High-Speed Plotting. An adaptive pen up/down cycle reduces pen nib wear without sacrificing plotting speed, by Tammy V. Herr, Hatem E. Mostafa, pg 29-30. 7550A.

The HP 7550A X-Y Servo: State-of-the-Art Performance on a Budget. A 32-bit microprocessor closes three servo loops in this plotter using position and velocity feedback, by Kenneth A. Regas, Thomas J. Halpenny, David C. Tribolet, pg 31-34

Firmware Provides Simple and Powerful Plotter Operation. Polygon area fill, downloadable character sets, and replot and vector buffers are some of the key enhancements, by Thomas J. Halpenny, pg 34-36. 7550A.

May 1985 v.36 n.5

Cover: a closeup view of the orifice plate of a HP’s ThinkJet printer

History of Thinkjet Printhead Development. The principle was simple: ejecting a minute droplet of ink by momentarily boiling the ink. Applying it to the design of a commercially viable disposable ink-jet printhead required clever and persistent engineering, by Niels Nielsen, pg 4-10

Mass-Producing Thermal Ink-Jet Printheads, pg 7

Preventing Hydraulic Crosstalk, pg 9

An Inexpensive, Portable Ink-Jet Printer Family. Using a disposable ink cartridge and printhead, this low-cost family of printers offers personal computer users high-quality printing in a portable package. Four common I/O interfaces are supported by various members of the family, by Thomas R. Braun, Cheryl V. Katen, pg 11-20. ThinkJet, 2225.

Alignment of Bidirectional Text, by Dave Lowe, Robert P. Callaway, pg 13

Printhead Interconnect, by Roy T. Buck, pg 14

Custom VLSI Microprocessor System, by Ray L. Pickup, pg 16

Home Switch Design, by Andrew D. Sleeper, pg 18-19

Thermodynamics and Hydrodynamics of the Thermal Ink Jets. Clever modeling and computer simulations were done to understand and predict the behavior of a new printing device, by Ross R. Allen, William R. Knight, John D. Meyer, pg 21-27. ThinkJet.

Development of the Thin-Film Structure for the ThinkJet Printhead. Using microscopic thin-film devices to vaporize ink for ink-jet printing imposes severe electrical, thermal, mechanical and chemical stresses on the film structures, by Eldurkar V. Bhaskar, J. Stephen Aden, pg 27-33

Where the Ink Hits the Paper…, by David Hackleman, pg 32

The ThinkJet Orifice Plate: A Part with Many Functions. This tiny electroformed part conducts ink from the reservoir and channels it to an array of integral minute orifices where it is selectively vaporized to eject ink droplets for printing, by Gary L. Siewell, William R. Boucher, Paul H. McCleland, pg 33-37

Electroforming, pg 35

Viewpoints: Managing the Development of a New Technology. How you do it may determine the commercial viability of a breakthrough technology, by Frank L. Cloutier, pg 38-39

Authors May 1985: Niels J. Nielsen, Thomas [Tom] R. Braun, Cheryl V. Katen, William [Bill] R. Knight, Ross R. Allen, John D. Meyer, Eldurkar V. Bhaskar, J. Stephen [Steve] Aden, Paul H. McClelland, William [Bill] R. Boucher, Gary L. Siewell, Frank L. Cloutier, pg 39-40

June 1985 v.36 n.6

Cover: Dot matrix printbar in action

A New Family of Dot Matrix Line Printers. These impact printers are designed for EDP and manufacturing applications. Speeds available are 300, 600, and 900 lines per minute, by Bryce E. Jeppsen, pg 4-6. 2563A, 2565A, 2566A.

Design for Reliability in the HP 256X Family of Line Printers, by Everett M. Baily, pg 5

Dot Matrix Printbar Design and Manufacturing. A new captured-hammer printbar system meets performance needs from 300 to 900 lines per minute, by John S. Craven, pg 6-9. 256X.

Shuttle System and Packaging of Low-Cost, High-Reliability, 300-lpm Line Printer. Simplicity and reliability were the overriding design requirements, by Jeffrey M. Lantz, Ben B. Tyson, pg 9-12. 2563A.

Mechanical Design of a Family of High-Speed Impact Line Printers. Dot placement accuracy must be maintained with the printbar oscillating at 60 Hz and the paper moving at 900 lpm, by George V. McIlvaine, Daniel D. Wheeler, Peter Gysling, Stephen L. Testardi, pg 13-18. 2365A, 2566A.

Computer Modeling of a Paper Drive Mechanism, by Peter Gysling, pg 15-16

Resonance Search Technique, by Gary W. Green, pg 17

Cost-Effective, Versatile Line Printer Electronics and Firmware. Here’s the nerve center that does the formatting, sequencing, controlling and communicating, by Phillip R. Luque, Donald K. Wadley, Philip Gordon, 18-23. 256X.

Vector Graphics for Dot Matrix Printers, by Sharon E. Jones, Alvin D. Scholten, pg 20

Printer Command Language Provides Feature Set Standard for HP Printers. Now applications written for one HP printer won’t have to be rewritten to run on another, by Ernest F. Covelli, David L. Price, Von L. Hansen, pg 23-25. PCL.

Authors June 1985: Bryce E. Jeppsen, John S. Craven, Ben B. Tyson, Jeffrey [Jeff] M. Lantz, Daniel [Dan] D. Wheeler, Peter [Pete] Gysling, George V. McIlvaine, Stephen [Steve] L. Testardi, Donald [Don] K. Wadley, Philip [Phil] Gordon, Phillip [Phil] R. Luque, David [Dave] L. Price, Ernest [Ernie] F. Covelli, Von L. Hansen, Harry E. Kellogg, Jonathan [Jon] E. Bale, pg 25-26

Native Language Support for Computer Systems. NLS for the HP 3000 and other HP computers provides hardware and operating system facilities that make application programs easy to translate into other languages, by Jonathan E. Bale, Harry E. Kellogg, pg 27-32. Localization.

Native Language Collating Sequences for Europe, pg 30

July 1985 v.36 n.7

Cover: HP 4953A Protocol Analyzer (illustrated map)

A Protocol Analyzer for EDP Centers and Field Service. It’s the latest member of a family that also includes a low-cost portable analyzer for field service and a high-speed BASIC-programmable analyzer for data communications research and development, by Aileen C. Appleyard, Roger W. Ruhnow, William Grant Grovenburg, Wayne M. Angevine, pg 4-11. 4955A, 4951A, 4953A.

How Protocol Analysis Can Help, pg 5

Protocol Analyzer Software Development, by William Grant Grovenburg, pg 10

Simple Architecture Provides High Performance for Protocol Analysis. A 68000 microprocessor controls the system. A trap machine provides powerful triggering capabilities, by Roger W. Ruhnow, Stephen H. Witt, pg 12-18. 4953A.

Protocol Analyzer Power Supply Design, by Stephen M. Ernst, pg 14

Protocol Analyzer Mechanical Design, by Ken Krebs, pg 15

Making a Protocol Analyzer Producible and Serviceable, by John R. Rader, pg 17

Serial Data Acquisition and Simulation for a High-speed Protocol Analyzer. The front end is a dedicated processor that interfaces the line under test to the system processor, by Dorothy J. Yackle, Mark D. Keisling, Elizabeth Gates Moore, David B. Karlin, pg 18-24. 4953A.

A Low-Cost, Portable Field Service Protocol Analyzer. It’s menu and file compatible with HP’s higher-performance analyzers and has many of the same capabilities, by Vonn L. Black, Alan Delwiche, Stephen B. Tursich, Chris L. Odell, pg 24-29. 4951A.

Remote Monitoring and Control of Semiconductor Processing. This addition to HP’s Semiconductor Productivity Network acts as a host computer to IC processing equipment, providing remote control and data gathering for fabrication personnel, by Wesley H. Higaki, pg 30-34. SPN.

SECS, pg 33. SEMI Equipment Communications Standard.

Authors July 1985: William Grant Grovenburg, Aileen C. Appleyard, Wayne M. Angevine, Roger W. Ruhnow, Stephen [Steve] H. Witt, Elizabeth [Beth] Gates Moore, David [Dave] B. Karlin, Mark D. Keisling, Dorothy [Dotty] J. Yackle, Alan Delwiche, Vonn L. Black, Chris L. Odell, Stephen [Steve] B. Tursich, Wesley [Wes] H. Higaki, pg 35-36

August 1985 v. 36 n.8

Cover: Spectrum of HP’s next generation of computers ranging from desktop workstations to mainframe class machines

Beyond RISC: High-Precision Architecture. An introduction to scaling, complexity and HP’s new computer architecture, by William S. Worley, Jr., Joel S. Birnbaum, pg 4-9. Spectrum program.

Architecture Genealogy, pg 5

Authors August 1985: William [Bill] S. Worley, Jr., Joel S. Birnbaum, Michael [Mike] B. Aken, William [bill] M. Spaulding, David [Dave] A. Bartle, Katherine [Katie] F. Potter, Reed I. White, pg 10

Development of a Two-Channel Frequency Synthesizer. Combining two independent synthesizers, flexible modulation, and control circuits in a single package, this instrument can generate two-phase, two-tone, pulse, frequency hopping, and swept signals, by Michael B. Aken, William M. Spaulding, pg 11-18. 3326A.

Discrete Sweep, by Michael B. Aken, pg 15

Two-Channel Synthesizer Phase Calibration, by Michael B. Aken, pg 17

Applications of a Two-Channel Synthesizer. Multiphase test capability, a frequency agile discrete sweep and other features add up to exceptional versatility, by Michael B. Aken, pg 19-21. 3326A.

Measuring Intermodulation Distortion with a Two-Channel Synthesizer, by Ben Zarlingo, pg 20

Synthesizer Firmware for User Interface and Instrument Control. A friendly and reliable user interface was the primary objective, by Katherine F. Potter, David A. Bartle, pg 21-24. 3326A.

A High-Level Active Mixer. When noise considerations are properly addressed, active designs have some distinct advantages, by William M. Spaulding, pg 25-29. 3326A.

Automated Test Data Collection for IC Manufacturing. Collecting, storing and analyzing data from a variety of test equipment and CPUs that use different formats, languages, and protocols is made possible by this software product for HP’s Semiconductor Productivity Network, by Reed I. White, pg 30-36. SPN.

EA-10 Data Analysis System, pg 32

September 1985 v.36 n.9

Cover: HP 3000 Series 37 Computer

VLSI Delivers Low-Cost, Compact HP 3000 Computer System. This entry-level, user-installable computer system runs the same software as the largest HP 3000, but fits under a table and is much quieter than a typewriter, by Frank E. La Fetra, Jr., James H. Holl, pg 4-7. Series 37.

High-Volume Test Strategy, by Dennis Bowers, pg 6

Simplicity in a Microcoded Computer Architecture. Simplicity means more efficient use of silicon without sacrificing performance, by Frederic C. Amerson, pg 7-12. HP 3000 Series 37

Using a Translator for Creating Readable Microcode, by Skip La Fetra, pg 10

Booting 64-Bit WCS Words from a 32-Bit-Wide ROM Word, by Skip La Fetra, Chris Shaker, pg 12

Simulation Ensures Working First-Pass VLSI Computer System. A simulator with the improbably name “Faster Than Light” was the essential tool, by John R. Obermeyer, Malcolm E. Woodward, Paul L. Rogers, Patria G. Alvarez, Greg L. Gilliam, pg 13-16. HP 3000 Series 37.

Creative Ways to Obtain Computer System Debug Tools. The ways include an off-the-shelf microcomputer and a virtual software debugging panel, by William M. Parrish, Eric B. Decker, Edwin G. Wong, pg 17-22. HP 3000 Series 37.

The Role of a Programmable Breakpoint Board, by Mehraban Jam, pg 20

Virtual Microcode Memory, by Chris Shaker, pg 22

New Cardiograph Family with ECG Analysis Capability. These three new HP cardiographs, in addition to recording traditional ECG waveforms, can perform differing levels of measurements and analysis to aid diagnosis of heart behavior, by Peter H. Dorward, Steven A. Scampini, Robert H. Banta, Jr., pg 23-28. 4760.

ECG Storage and Transmission, by Charles C. Monroe, pg 24

Artifact Indication, pg 27

Computer-Aided ECG Analysis. Special signal processing and algorithms are required to detect various ECG abnormalities, by John C. Doue, Anthony G. Vallance, pg 29-34

ECG Criteria Language, pg 30-31

Pediatric Criteria, pg 34

Authors September 1985: Frank [Skip] E. La Fetra, Jr., James [Jim] H. Holl, Frederic [Rick] C. Amerson, Paul L. Rogers, Malcom [Woody] E. Woodward, Patria [Pat] G. Alvarez, John R. Obermeyer, Greg L. Gilliom, Edwin [Ed] G. Wong, William [Bill] M. Parrish, Eric B. Decker, Peter H. Dorward, Steven [Steve] A. Scampini, Robert [Bob] H. Banta, Jr., Anthony [Tony] G. Vallance, John C. Doue, pg 35-36

October 1985 v.36 n.9

Cover: Integral PC’s electroluminescent display

A Multitasking Personal Computer System for the Technical Professional. The Integral PC provides high-performance multitasking operation, mass storage, graphics and text output, and instrument I/O in a compact, transportable package, by Nelson A. Mills, Tim J. Williams, pg 4-6

Electronics System for a Transportable Computer. A clever memory manager and simple, low-cost system logic design are key elements, by David L. Kepler, James A. Espeland, pg 6-9. Integral PC.

Custom Graphics Processor Unit for the Integral PC. This special-purpose microprocessor can control the internal bit-mapped flat-panel display or external CRT monitors, by Dean M. Heath, pg 10-12

High-Quality Electroluminescent Display for a Personal Workstation. An energy-recovery drive scheme keeps power requirements below 15 watts, by Marvin L. Higgins, pg 12-17. Integral PC.

Mechanical Design of the Integral PC: Not Just Desktop Computer with a Handle. Able to fit under an airline seat, the package contains an ink-jet printer, a disc drive, a detachable keyboard, and space for an optional mouse, by Thomas A. Pearo, pg 18-22

Reducing Glare with Circular Polarizers, pg 21

A UNIX Operating System Adapted for a Technical Personal Computer. This approach eliminates the need for a hard disc and adds real-time priority to a multitasking operating system, by Ray M. Fajardo, Robert C. Cline, James R. Andreas, Andrew L Rood, pg 22-28. Integral PC.

A Friendly UNIX Operating System User Interface. A window manager and an adaptation of the Personal Applications Manager used in the HP 150 Computer make it simpler for the novice to use a UNIX multitasking system, by Jon A. Brewster, Karen S. Helt, James N. Phillips, 28-33. Integral PC.

Personal Applications Manager, by Brock Krizan, pg 30

Data Communications, by Fred Taft, pg 33-34

Printer and Plotter Drivers, pg 34-35

Authors October 1985: Nelson A. Mills, Tim J. Williams, James [Jim] A. Espeland, David [Dave] L. Kepler, Dean M. Heath, Marvin [Marv] L. Higgins, Thomas [Tom] A. Pearo,  James [Jim] R. Andreas, Andrew [Andy] L. Rood, Robert [Bob] C. Cline, Ray M. Fajardo, Karen S. Helt, James [Jay] N. Phillips, Jon A. Brewster, pg 35-36

November 1985 v.36 n.11

Cover: A Thin-film disc fabricated in the HP Laboratories

Thin-Film Memory Disc Development. Developing a new recording medium for disc memories required careful attention to the development and characterization of materials, processes and test systems, by Bruce F. Spenner, Charles C. Morehouse, David J. Bromley, Edward S. Murdock, Richard A. Baugh, James E. Opfer, Bangalore R. Natarajan, pg 4-10

M-H Loop Measurements, by Robin P. Giffard, Victor W. Hesterman, pg 6

A Laser Particle Scanner, by Richard E. Elder, pg 8

Dynamic Testing of Thin-Film Magnetic Recording Discs. A modular approach is a key element, by John Hodges, Keith S. Roskelley, Dennis R. Edson, pg 11-21

In-Line Sputtering Deposition System for Thin-Film Disc Fabrication. A sophisticated control system and physical design moves the discs from one deposition step to the next without exposing the discs to atmospheric conditions between steps, by George A. Drennan, Michael B. Jacobson, Robert J. Lawton, pg 21-25

Thin-Film Disc Reliability-the Conservative Approach. Wear, friction, and corrosion must be evaluated and controlled, by Stephan P. Howe, Paul Poorman, Clifford K. Day, C. Girvin Harkins, pg 25-31

Authors November 1985: David [Dave] J. Bromley, Charles [Chuck] C. Morehouse, Richard [Dick] Baugh, Edward [Ed] S. Murdock, James [Jim] E. Opfer, Bruce F. Spenner, Bangalore [Natu] R. Natarajan, John Hodges, Dennis R. Edson, Keith S. Roskelley, Robert [R. J. (Bob)] Lawton, Michael [Mike] B. Jacobson, George A. Drennan, C. Girvin Harkins, Stephan P. Howe, Clifford [Cliff] K. Day, Paul Poorman, Darrel R. Bloomquist, Richard [Rick] S. Seymour, Glenn E. Moore, Jr., Michael [Mike] C. Allyn, Peter R. Goglia, Scott R. Smay, pg 31-33

Manufacturing Thin-Film Discs. Optimizing disc fabrication has a major effect on disc drive product cost and quality, by Darrel R. Bloomquist, Glenn E. Moore, Jr., Richard S. Seymour, pg 34-35

Thin-Film Discs: Magnetic, Electrical, and Mechanical Design. When the magnetic and electrical parameters require a head to fly only 200 nm above a disc, surface smoothness becomes an important design parameter, by Michael C. Allyn, Peter R. Goglia, Scott R. Smay, pg 36-40

December 1985 v.36 n.12

Cover: SAWR and the HP 8642A/B

A High-Performance Signal Generator for RF Communications Testing. High-reliability design, extended calibration intervals, and fast calibration and repair maximize ATE system uptime. Spectral purity is exceptional, by Robert E. Burns, pg 4-6. 8642A, 8642B.

User Interface and Internal Controller for an RF Signal Generator. The power of a 68000 microprocessor makes the instrument friendly, both to the user and to automatic systems it may be part of, by Albert Einstein Lassiter, Charles R. Kogler, pg 6-9. 8642A/B.

Display Design, pg 9

Signal Generator Service Features Maximize Uptime. Built-in are self-tests and service features for fault location and field recalibration, by Michael T. Wende, pg 10-13. 8642A/B.

Electrically Erasabel PROM Storage for Calibration Data, pg 13

Internally Modular Signal Generator Mechanical Design. Each module is like a mini-instrument that is easily replaceable in the field, by Michael B. Jewell, Mark W. Johnson, pg 14-18. 8642A/B.

Wide-Frequency-Range Signal Generator Output Section Design. Output power amplification and control, amplitude modulation, and reverse power protection are handled here, by Marvin W. Wagner, Robert R. Collison, James B. Summers, Bryan D. Ratliff, pg 18-24. 8642A/B.

Signal Generator Frequency Synthesizer Design. Six phase-locked loops minimize phase noise and spurious outputs and provide high-accuracy, low distortion angle modulation, by Thomas R. Faulkner, Earl C. Herleikson, Ronald J. Mayer, Brian M. Miller, Mark A. Niemann, pg 24-31. 8642A/B.

Computer Analysis of Oscillator Loop Gain, Phase, and Q, pg 29

Audio Modulation Section for an RF Signal Generator. Included is a low-distortion, variable-modulation audio signal source, by Gary L. Tong, pg 31-35 . 8642A/B.

Index: Volume 36 January 1985 through December 1985. PART 1: Chronological Index, pg 36-37. PART 2: Subject Index, pg 38-40. PART 3: Model Number Index, pg 40. PART 4: Author Index, pg 41-42

Authors December 1985: Robert [Bob] E. Burns, Albert Einstein Lassiter, Charles [Chuck] R. Kogler, Michael [Mike] T. Wende, Mark W. Johnson, Michael [Mike] B. Jewell, Bryan D. Ratliff, Marvin [Marv] W. Wagner, Robert [Bob] R. Collison, James [Jim] B. Summers, Earl c. Herleikson, Ronald [Ron] J. Mayer, Brian M. Miller, Mark A. Niemann, Thomas [Tom] R. Faulkner, Gary L. Tong, pg 43-44

1984 – HP Journal Index

January 1984 v.35 n.1

Cover: The Industry’s largest removable disc drive media module

Two High-Capacity Disc Drives. One of these 404-megabyte drives is the current industry leader in removable disc pack capacity. The other is a lower-cost nonremovable drive, by Kent Wilken, pg 3-6. 7933, 7935.

A Command Language for Improved Disc Protocol. The goal is a flexible and forward-looking way of communicating between disc and computer, by Douglas L. Voigt, pg 5-6. CS-80

Second-Generation Disc Read/Write Electronics. Information-packing coding and increased track densities deliver disc storage at one third the cost per megabyte, by Robert M. Batey, James D. Becker, pg 7-12. 7933, 7935.

Disc Drive Error Detection and Correction Using VLSI. Error correction resides entirely within the disc drive for better system performance, by Peter M. Galen, pg 12-13

Head Positioning in a Large Disc Drive. The objective was to move 14 heads up to 2.1 inches in less than 35 milliseconds and keep them within 75 microinches of the correct position, by R. Frank Bell, Eric W. Johnson, R. Keith Whitaker, Roger V. Wilcox, pg 14-20. 7933/35.

Mechanical Design of a Large Disc Drive. A molded cabinet, modularity, and high-volume parts tooling reduce manufacturing time and cost, by James H. Smith, pg 20-22. 7933/35.

High-Capacity Disc Drive Servomechanism Design. Complicated servo performance issues were better resolved by separating them from structural constraints, by Stephen A. Edwards, pg 23-27. 7933/35.

Authors January 1984: Kent Wilken, Douglas [Doug] L. Voigt, James D. Becker, Robert [Bob] M. Batey, Peter M. Galen, Roger V. Wilcox, Eric W. Johnson, R. Frank Bell, R. Keith Whitaker, James [Jim] H. Smith, Stephen [Steve] A. Edwards, Timothy [Tim] C. Mackey, Loren M. Koehler, Jeffrey [Jeff] R. Murphy, Elizabeth [Beth] R. Hueftle, pg 27-28

Speech Output for HP Series 80 Personal Computers. This module allows a computer to provide informative prompts and alarms, freeing the user from frequent attention to a display, by Loren M. Koehler, Timothy C. Mackey, pg 29-36. 82967A.

Linear Predictive Coding, pg 32-33

Speech Output for HP 1000 and HP 3000 Computer Systems. Inserted in series with any RS-232-C peripheral, this module supplies audible information for many applications, by Elizabeth R. Hueftle, Jeffrey R. Murphy, pg 34-35. 27201A.

February 1984 v. 35 n.2

Cover: The five boards of the A900 processor

A New Series of High-Performance Real-Time Computers. The HP 1000 A-Series consists of three compatible processors rated at up to 3 MIPS. They use a new Real-Time Executive operating system and are available in board, box, and system configurations, by Marlu E. Allan, Nancy Schoendorf, Craig B. Chatterton, Don M. Cross, pg 3-6. 1000 A-Series, A600, A700, A900.

An Adaptable 1-MIPS Real-Time Computer. The A700 offers user microprogramming, optional hardware floating-point, and optional error correcting memory, by David A. Fotland, Lee S. Moncton, Leslie E. Neft, pg 7-12

Designing a Low-Cost 3-MIPS Computer. It’s done with pipelining, cache memory, and hardware floating-point but not ECL, by Donald A. Williamson, Steven C. Steps, Bruce A. Thompson, pg 12-17. A900.

Floating-Point Chip Set Speeds Real-Time Computer Operation. The add and multiply chips are fully combinational and produce a 64-bit result in 400 to 900 nonseconds, by William H. McAllister, John R. Carlson, pg 17-23. A900, A700.

Comprehensive, Friendly Diagnostics Aid A-Series Troubleshooting. An interpretive diagnostic design language makes it easy to generate diagnostics to fit the applications, by Michael T. Winters, John F. Shelton, pg 23-26. 1000 A-Series.

New Real-Time Executive Supports Large Programs and Multiple Users. Virtual code, code and data separation, and spooling are other features, by Douglass O. Hartman, Steven R. Kusmer, Elizabeth A. Clark, Douglas V. Larson, Billy Chu, pg 26-31. RTE-A. 1000 A-Series.

New Software Increases Capabilities of Logic Timing Analyzer. An upgraded operating software package increases the capabilities of an already powerful timing analyzer system to include statistics, marked events, postprocessing, and storage of captured trace data, by David L. Neuder, pg 32-38. 64600S.

Captured Data Storage and Retrieval, pg 36

Overlay Memory Structure, pg 28

Authors February 1984: Don M. Cross, Craig B. Chatterton, Marlu E. Allan, Nancy Schoendorf, David [Dave] A. Fotland, Leslie E. Neft, Lee S. Moncton, Donald [Don] A. Williamson, Bruce A. Thompson, Steven [Steve] C. Steps, John R. Carlson, William [Willy] H. McAllister, Michael [Mike] T. Winters, John F. Shelton, Steven [Steve] R. Kusmer, Douglas [Doug] O. Hartman, Douglas [Doug] V. Larson, Billy [Bill] Chu, Elizabeth [Beth] A. Clark, David [Dave] L. Neuder, pg 39-40

March 1984 v.34 n.3

Cover: The solar system representing the system software for the HP 9000 Series 500 Computers

A New 32-Bit VLSI Computer Family: Part II – Software. Based on HP’s proprietary 32-bit VLSI NMOS-III technology, the HP 9000 Series 500 Computers use local area networking and HP-UX, HP’s enhanced version of UNIXä. An advanced version of BASIC that uses run-time compiling is available on the Model 520 integrated workstation, by Michael V. Hetrick, Michael L. Kolesar, pg 3-6

Contrasting Project Management, by Michael V. Hetrick, Michael L. Kolesar, pg 4

The Development of a BASIC Language Subsystem, by Michael L. Kolesar, Jack D. Cooley, pg 5-6

HP-UX: Implementation of UNIX on the HP 9000 Series 500 Computer Systems. This enhanced version of UNIX lets a user “port” software from one HP 9000 Computer to another and use software developed on other systems, by Scott W. Y. Wang, Jeff B. Lindberg, pg 7-15

Typical HP-UX Commands, by Michael L. Connor, pg 7

What is UNIXä?, by Michael L. Connor, pg 9

HP-UX: A Corporate Strategy, by Michael V. Hetrick, pg 12-13

An Interactive Run-Time Complier for Enhanced BASIC Language Performance. This technique adds compiled language performance while retaining BASIC’s friendly interactive features, by David M. Landers, Timothy W. Tillson, Jack D. Cooley, Richard R. Rupp, pg 15-21. 9000 Model 520.

Preserving Programming Investment, by Gerrie L. Shults, pg 20-21

A Local Area Network for the HP 9000 Series 500 Computers. LAN 9000 allows clustering of HP’s latest computer workstations for computer-aided design and sharing of data and resources, by John J. Blaza, H. Michael Wenzel, James L. Willits, pg 22-27. Manufacturer’s Productivity Network, MPN.

Data Communications for a 32-Bit Computer Workstation. By emulating asynchronous terminals, the Model 520 can exchange data with other systems, by Vincent C. Jones, pg 24-25

A General-Purpose Operating System Kernel for a 32-Bit Computer System. This kernel provides a clean interface between an underlying sophisticated hardware system and high-level user systems, by Dennis D. Georg, Benjamin D. Osecky, Stephan D. Scheid, pg 28-34. 9000 Series 500.

Parallel Development of Hardware and Software, by Benjamin D. Osecky, Dennis D. Georg, pg 30

A System Software Debugger, by Alan Silverstein, pg 32-33

The Design of a General-Purpose Multiple-Processor System. To coordinate the operation of symmetric processors requires some special hardware characteristics and hardware/software tradeoffs, by Benjamin D. Osecky, Dennis D. Georg, Robert J. Bury, pg 34-38. 9000 Series 500.

An I/O Subsystem for a 32-Bit Computer Operating System. This subsystem for Series 500 Computers has two main components – a file system and a set of device drivers, by Robert M. Lenk, Charles E. Mear, Jr., Marcel E. Meier, pg 38-41

Authors March 1984: Michael [Mike] L. Kolesar, Michael [Mike] V. Hetrick, Jeff B. Lindberg, Scott W. Y. Wang, Timothy [Tim] W. Tillson, Richard [Dick] R. Rupp, Jack D. Cooley, David [Dave] M. Landers, John J. Balza, James [Jim] L. Willits, H. Michael [Mike] Wenzel, Vincent [Vince] C. Jones, Stephen [Steve] D. Scheid, Dennis [Denny] D. Georg, Benjamin [Dan] D. Osecky, Robert [Bob] J. Bury, Charles [Charlie] E. Mear, Jr., Marcel E. Meier, Robert [Bob] M. Lenk, Donald [Don] L. Hammond, pg 42-43

Viewpoints: Coping with Prior Invention. What do you do when you find out that someone else invented your new technology first?, by Donald L. Hammond, pg 44. ThinkJet, HP 2225.

April 1984 v.35 n.4

Cover: A metering pump from the solvent delivery system

Low-Dispersion Liquid Chromatography. Low dispersion means it takes less sample, less solvent and less time; it’s a term coined by HP and implemented in a new high-performance LC system, by Robert J. Jonker, Gerard P. Rozing, pg 3-8

Identification and Quantitation of PTH Amino Acids. The HP 1090 represents a major step forward in the analysis of these compounds, by Bernd Glatz, Rainer Schuster, pg 7-8

Design of the HP 1090 Control System. It’s a hierarchical structure with an HP personal computer in command, by Herbert Wiederoder, Roland Martin, Juergen Ziegler, pg 8-13

A New Solvent Delivery System. Precision pumps and an advanced control system deliver accurate flow rates over a 5000:1 range, by Wolfgang Geiger, Heinrich Vollmer, pg 13-21. 79835A, Liquid Chromatography.

Automatic Liquid Chromatograph Injection and Sampling. Precise handling and injection provide high throughput and reduce costs, by Wolfgang Kretz, Hans-Georg Hartl, pg 21-24. 79846A.

Mobile Phase Preheater Ensures Precise Control of LC Column Temperature. Column temperature has become an important separation parameter, by Helge Schrenker, pg 24-26. 1090, Liquid Chromatography.

A Low-Cost LC Filterphotometric Detection System. It offers more flexibility and better delectability and selectivity then others in its class, by Axel Wiese, Bernhard Dehmer, Thomas Dorr, Gunter Hoschele, pg 26-30. Liquid Chromatography, 78991A.

A High-Speed Spectrophotometric LC Detector. It’s designed for high-speed data acquisition and for qualitative and quantitative analyses using the latest columns, by Joachim Leyrer, Gunter E. Nill, Detlev Hadbawnik, Gunter Hoschele, Joachim Dieckmann, pg 31-41. HPLC, Liquid Chromatography, 1040A.

Speed Requirements for Data Acquisition in Photodiode Array HPLC Detectors, pg 38-39

Authors April 1984: Robert J. Jonker, Gerard P. Rozing, Bernd Glatz, Rainer [Moses] Schuster, Roland Martin, Juergen Ziegler, Herbert Wiederoder, Wolfgang Geiger, Heinrich Vollmer, Hans-Georg Hartl, Wolfgang Kretz, Helge Schrenker, Axel Wiese, Bernhard Dehmer, Thomas Dorr, Gunter Hoschele, Joachim Leyrer, Joachim Dieckmann, Detlev Hadbawnik, Gunter E. Nill, Alfred Maute, pg 42-43

New Technologies in the HP 1090 Liquid Chromatograph. Some of the new technologies aren’t so new, by Alfred Maute, pg 44

May 1984 v.35 n.5

Cover: Finite Element Analysis [nut, bolt & wrench]

Putting a 32-Bit Computer System in a Desktop Workstation. A modular packaging approach provides a powerful computer workstation for computer-aided design and engineering applications, by Jack L. Burkman, Robert L. Brooks, Ronald P. Dean, Paul F. Febvre, Michael K. Bowen, pg 3-11. 9000 Series Model 520.

Low-Tech Modeling for Better Design, by Steven R. Anderson, pg 6-7

The Toleranced Design of the Model 520 Computer, by Joseph R. Milner, pg 10-11

Color Graphics Display for an Engineering Workstation. This display has performance appropriate for HP’s latest desktop computer, yet retains compatibility with graphics software developed on earlier computers, by Daniel G. Schmidt, pg 12-15. 98760A.

Detached Keyboard Option for the Model 520 Computer, by Michael K. Bowen, pg 13-14

BASIC Language Graphics Subsystem for a 32-Bit Workstation. Multiple device access, 3-D primitives, and input device tracking are some of the features, by Kenneth W. Lewis, Alan D. Ward, Xuan Bui, pg 16-19. 9000 Model 520.

Multiprogramming in Model 520 BASIC, by Robert J. Bury, pg 20-21

I/O Features of Model 520 BASIC. A transfer process for overlapped I/O and a unified I/0 resource concept improve performance and simplify programming, by Gary D. Fritz, Michael L. Kolesar, pg 21-24

BASIC Language I/O Examples, pg 22

Circular buffer Operation, pg 23

A Compact, Reliable Power Supply for an Advanced Desktop Computer. This module can deliver 550W among 12 outputs and occupies a volume less than 400 cubic inches, by Jack L. Burkman, Howell R. Felsenthal, Thomas O. Meyer, Warren C. Pratt, pg 24-31. 9000 Model 520.

An Automatic Power Supply Test Station, by Thomas O. Meyer, pg 28

Compact 32-Bit System Processing Units. Two package designs, 32-bit multiprocessor architecture and a sophisticated self-test system provide multiuser computer systems with a rugged, powerful, easy-to-service mainframe processing unit, by Kevin W. Allen, Paul C. Christofanelli, Robert E. Kuseski, Ronald D. Larson, David Maitland, Larry J. Thayer, pg 31-38. 9000 Series 500.

Authors May 1984: Paul F. Febvre, Ronald [Ron] P. Dean, Robert [Bob] L. Brooks, Jack L. Burkman, Michael [Mike] K. Bowen, Daniel [Dan] G. Schmidt, Xuan Bui, Alan D. Ward, Kenneth [Ken] W. Lewis, Gary D. Fritz, Michael [Mike] L. Kolesar, Thomas [Tom] O. Meyer, Howell R. Felsenthal, Warren C. Pratt, Robert [Bob] E. Kuseski, David [Dave] Maitland, Larry J. Thayer, Ronald [Ron] D. Larson, Kevin W. Allen, Paul C. Christofanelli, pg 38-40

June 1984 v.35 n.6

Cover: A typical IC wafer and the processed results of some measurements

A Parametric Test System for Accurate Measurement of Wafer-Stage ICs. Special test instruction software and a hardware system fully characterized up to the measurement pins of the test head make this system easy to use for accurate parametric evaluations, by Yoh Narimatsu, Keiki Kanafuji, pg 3-8. 4062A.

Some Examples of 4062A Applications, pg 5

Powerful Test System Software Provides Extensive Parametric Measurement Capability. An easy-to-use set of test instructions, “dry” switching of test relays, and a utility for specifying wafer probing patterns provide powerful support for users of HP’s semiconductor test system, by Takuo Banno, pg 9-11. 4062A.

A High-Speed 1-MHz Capacitance/Conductance Meter for Measuring Semiconductor Parameters. This fast, high-resolution instrument is equipped with a built-in timer, a sweepable dc bias source, and a pulse generator for high-speed C-t and C-V measurements, by Tomoyuki Akiyama, Kenzo Ishiguro, pg 12-24. 4280A.

Authors June 1984: Yoh Narimatsu, Keiki Kanafuji, Takuo Banno, Tomoyuki Akiyama, Kenzo Ishiguro, Joseph [Joe] A. Hawk, Andrew [Andy] J. Blasciak, Gail E. Hamilton, Brett K. Carver, Thomas [Tom] K. Bohley, Donald [Don] J. Smith, Johnnie L. Hancock, pg 24-25

An Electronic Tool for Analyzing Software Performance. Improving software performance requires measurement of program activity and duration under different conditions. This subsystem for the HP 64000 Logic Development System makes it easy to obtain such data, by Gail E. Hamilton, Andrew J. Blasciak, Joseph A. Hawk, Brett K. Carver, pg 26-32. 64310A.

Counter Module Simplifies Measurements on Complex Waveforms. This gated universal counter module provides counter accuracy to complement the HP 1980A/B Oscilloscope Measurement System’s flexible setup and display capabilities, by Donald J. Smith, Johnnie L. Hancock, Thomas K. Bohley, pg 33-40. 1965A.

How Computer Control of the Oscilloscope Measurement System Makes Complex Measurements Easy, by Johnnie Hancock, pg 36

Random Phase Modulation Breaks Coherence for High-Resolution Averaging, by Johnnie Hancock, pg 39-40

July 1984 v.35 n.7

Cover: The parts of the HP-71B Handheld Computer

A New Handheld Computer for Technical Professionals. This small computational tool functions both as a BASIC-programmable computer and as an advanced scientific calculator. Equipped with the appropriate modules, it can control instruments, store and retrieve data and programs, perform complex number and matrix calculations, or be used for software development, by Susan L. Wechsler, pg 3-10. HP-71B.

Calculator Mode for a Handheld Computer, by Stephen Abell, pg 6-7

HP-IL Interface Module for the HP-71B Computer, by Nathan Zelle, Jackie Hunt, pg 8-9

Soft Configuration Enhances Flexibility of Handheld Computer Memory. This technique allows the CPU to reassign a device’s address space and lets the user dedicate portions of RAM for independent use,  by Nathan Meyers, pg 10-13. HP-71B.

Custom CMOS Architecture for a Handheld Computer. A 4-bit CPU provides a 512K-byte address space and uses a 64-bit internal word size, by James P. Dickie, pg 14-17. HP-71B.

Packaging the HP-71B Handheld Computer. An innovative combination of standard-manufacturing techniques allows a very compact design, by Thomas B. Lindberg, pg 17-20

Authors July 1984: Susan L. Wechsler, Nathan Meyers, James [Jim] P. Dickie, Thomas [Tom] B. Lindberg, Stanley [Stan] M. Blascow, Jr., James [Jim] A. Donnelly, Laurence W. Grodd, Charles M. Patton, Robert [Bob] M. Miller, pg 21

Module Adds Curve-Fitting and Optimization Capabilities to the HP-71B. This plug-in ROM can fit data to a variety of built-in functions, or, given a function of up to 20 variables, find values for local minima or maxima, by Stanley M. Blascow, Jr., James A. Donnelly, pg 22-24

An Optimization Example, pg 23

ROM Extends Numerical Function Set of Handheld Computer. Full use of complex variables, integration, matrix algebra, and polynomial root finding are some of the capabilities provided by this plug-in module, by Laurence W. Grodd, Charles M. Patton, pg 25-36. HP-71B.

See Also: Correction: The complex results for the complicated expression on page 26 in the article “ROM Extends Numerical Function Set of Handheld Computer, is incorrect, page 36 in the October 1984 issue

Plug-In Module Adds FORTH Language and Assembler to a Handheld Computer. This ROM adds an alternate programming language and the ability to define new BASIC keywords or FORTH primitives, by Robert M. Miller, pg 37-40. HP-71B.

August 1984 v.35 n.8

Cover: Diana Jillie using the HP 150 Touchscreen Personal Computer

Touchscreen Personal Computer Offers Ease of Use and Flexibility. This powerful 16-bit computer offers an industry standard operating system, many integrated software packages, high-resolution graphics, sophisticated data communications, built-in terminal capabilities, and of course – the touchscreen, by Srinivas Sukumar, pg 4-6. HP 150.

Operating System and Firmware of the HP 150 Personal Computer. The industry standard MS-DOS operating system makes available a large amount of software, by Laurie E. Pollero Wood, Charles H. Whelan, pg 6-10

The HP 150 Touchscreen: An Interactive User Input Device for a Personal Computer. It has adequate resolution, doesn’t degrade the display and is reliable, by Peter R. Straton, Scott R. McClelland, Thomas E. Kilbourn, pg 11-15

Applications Software for the Touchscreen Personal Computer. HP-developed text editing, card file, graphics, spreadsheet, and calculator packages are designed to maximize the benefits of the touchscreen, by Peter S. Showman, Karl W. Pettis, Karlie J. Arkin, Jeffrey A. Spoelstra, John Price, W. Bruce Culbertson, Robert D. Shurtleff, Jr., pg 15-24. HP 150, Personal Applications Manager, PAM.

Hardware Design of the HP 150 Personal Computer. It’s really two products – a computer and a terminal, by John E. Watkins, Patricia A. Brown, George Szeman, Susan E. Carrie, pg 25-30

Software Graphics in the HP 150, pg 28

Personal Computer Printer is User Installable. You just drop it into the top of the HP 150, by Joseph D. Barbera, pg 30-31. 2674A.

Authors August 1984: Srinivas Sukumar, Laurie E. Pollero Wood, Charles [Chuck] H. Whelan, Peter R. Straton, Thomas [Tom] E. Kilbourn, Scott R. C. McClelland, Peter [Pete] S. Showman, Karl W. Pettis, Karlie J. Arkin, John Price, W. Bruce Culbertson, Robert [Rob] D. Shurtleff, Jr., Jeffrey [Jeff] A. Spoelstra, George Szeman, Susan E. Carrie, John E. Watkins, Patricia [Trish] A. Brown, Joseph [Joe] D. Barbera, Michael [Mike] R. Perkins, Lorenzo Dunn, pg 32-33

A Standard Keyboard Family for HP Computer Products. It’s designed to meet ergonomic requirements, satisfy user preferences, be easily customized, and be produced in high volume at low cost, by Lorenzo Dunn, Michael R. Perkins, pg 34-36. 46010., HP 150.

September 1984 v.35 n.9

Cover: The flags of many nations

Transmission Impairment Measuring Set Simplifies Testing of Complex Voice and Data Circuits. This new TIMS’ comprehensive measurement capabilities and powerful master/slave mode offer Bell-standard telephone companies and data communications users faster, more reliable testing and troubleshooting, by David R. Novotny, Jeffrey Tomberlin, Charles P. Hill, James P. Quan, Gordon A. Jensen, Jerry D. Morris, pg 4-12. 4945A.

TIMS Mechanical Design, by Ernie Hastings, pg 10

Weight, Size, and Noise Impact Power Supply and Display Design, by Kurt R. Goldsmith, pg 12

Master/Slave TIMS Operation Increases Productivity. One skilled craftsperson and two TIMS can do the job, by Teresa L. Reh, pg 13-15. 4945A.

How Master/Slave Mode Works, pg 14

Testing the TIMS. Innovative approaches ensure correct performance and reliability of hardware and software, by Allan W. Dodge, Scott S. Neal, Kurt R. Goldsmith, pg 15-18. 4945A.

Semiconductor Research Corporation: A Perspective on Cooperative Research. Hewlett-Packard and other U.S. makers and users of semiconductor devices join forces to support universities in an innovative microelectronics research program, by Richard A. Lucic, pg 19-25

A Hyphenation Algorithm for HPWord. Originally developed for the Dutch version of HPWord, this pattern recognition algorithm can be adapted to hyphenate words in many different languages, by Paul R. Smit, pg 26-30

Designing Software for the International Market. A designer has to allow for differences in spelling, syntax, character sets, times, data formats, terminal capabilities, and many other factors, by Heather Wilson, Michael J. Shaw, pg 31-35. Localization.

Authors September 1984: David R. Novotny, James [Jim] P. Quan, Jerry D. Morris, Jeffrey [Jeff] Tomberlin, Gordon A. Jensen, Charles [Chuck] P. Hill, Teresa L. Reh, Scott S. Neal, Allan [Al] W. Dodge, Kurt R. Goldsmith, Richard [Rich] A. Lucic, Paul R. Smit, Heather Wilson, Michael [Mike] J. Shaw, pg 35-36

October 1984 v.35 n.10

Cover: HP 3065 Board Test System

The HP 3065 Board Test Family: A System Overview. This board test system features menu-driven automatic test generation, high digital IC throughput, overdrive protection, multiple test stations, and networking capability, by Thomas R. Fay, John E. McDermid, pg 4-9

Hp Q-STAR, pg 6

Confirmation-Diagnostics, by Randy W. Holmberg, pg 9

Authors October 1984: Thomas [Tom] R. Fay, Robert [Bob] E. Balliew, Michael [Mike] A. Teska, Mathew [Matt] L. Snook, Vance R. Harwood, Randy W. Holmberg, Mark A. Mathieu, T. Michael [Mike] Hendricks, John E. McDermid, pg 10

Automatic Test Program Generation for Digital Board Testing. The user is freed from having to assign test inputs and outputs and define test patterns for most devices, by Robert E. Balliew, pg 11-14. 3065.

Board Test Connection Terminology, pg 13

Digital Subsystem for a Board Test System. A keep/toggle vector definition scheme reduces storage requirements and increases test throughput, by Matthew L. Snook, Michael A. Teska, pg 14-20. 3065.

Digital Test Throughput, by Thomas R. Fay, pg 16-17

Safeguarding Devices Against Stress Caused by In-Circuit Testing. Built-in software takes care of this for the HP 3065 user, by Vance R. Harwood, pg 20-22

Extensive Library Simplifies Digital Board Test Setup. Test routines for over 2700 common digital devices are part of the HP 3065 software, by Randy W. Holmberg, pg 23-25

An Interpreter-Based Board Test Programming Environment. This high-level language extends BASIC for use in defining circuit board tests, by Mark A. Mathieu, pg 25-28. 3065.

Testing for Short-Circuit Failures. One has to separate random short-circuits from desired short-circuits and watch out for “phantoms”, by T. Michael Hendricks, pg 28-30. 3065.

Reducing Errors in Automated Analog In-Circuit Test Program Generation. Careful design is required to generate correct tests for more than 90% of a board’s components, by John E. McDermid, pg 31-36

Correction: The complex results for the complicated expression on page 26 in the article “ROM Extends Numerical Function Set of Handheld Computer, page 25 in the July 1984 issue, is incorrect, pg 36

November 1984 v.35 n.11

Cover: HP 3577A Network Analyzer’s built-in CRT

An Advanced 5-Hz-to-200-MHz Network Analyzer. This instrument is a complete network analysis system containing an integrated three-input receiver subsystem, a graphics display, and a synthesized signal source. Softkey menus and a powerful operating system make it easy to set up and use, by Robert A. Witte, Jerry W. Daniels, pg 4-16. 3577A.

User-Defined Vector Math Expands Measurement Capabilities, by Kenneth M. Voelker, pg 8-9

A Broadband Two-Port S-Parameter Test Set. Clever transformer and stripline designs allow operation over a frequency range of three decades and one octave, by William M. Spaulding, pg 17-20. 35677A/B.

An ADC for a Network Analyzer Receiver. This two-pass-conversion design allows a 12-bit ADC to cover a 17-bit dynamic range, by Alan J. Baker, pg 21-23. 3577A.

Authors November 1984: Jerry W. Daniels, Robert [Bob] A. Witte, William [Bill] Spaulding, Alan J. Baker, Jean-Claude Dureau, Jacques Firdmann, Jean Bounaix, Mark J. Divittorio, Thomas [Tom] B. Pritchard, David [Dave] S. Lee, pg 24

An Industrial Workstation Terminal for Harsh Environments. This terminal is designed to collect production data right at the source on the shop floor in adverse environmental conditions, by Jean Bounaix, Jean-Claude Dureau, Jacques Firdmann, pg 25-29. 3081A.

How Do You Describe Terminal Ruggedness? pg 26

High-Quality, Dot-Matrix Impact Printer Family. Easy paper handling, last-form tearoff, graphics, and a friendly control panel are some of the common features, by Mark J. DiVittorio, pg 30-32. 293X, 2932A, 2933A, 2934A.

Custom IC Controls Dot-Matrix Impact Printers. This custom integrated circuit performs the complex logic required for controlling the printwires and the printhead carriage motor in a family of high-performance serial dot-matrix printers, by Thomas B. Pritchard, David S. Lee, pg 33-36. 293X.

December 1984 v.35 n.12

Cover: HP 3561A Dynamic Signal Analyzer

Versatile Instrument Simplifies Dynamic Signal Analysis at Low Frequencies. Analysis of low-frequency signals has many uses in electronic design, vibration studies, and acoustic measurements. This easy-to-use analyzer covers the range from 125mHz to 100 kHz and displays the data in several useful formats, by James S. Epstein, pg 4-11. 3561A.

Dynamic signal Analysis for Machinery Maintenance, pg 6

Hardware Design for a Dynamic Signal Analyzer. A two-pass A-to-D converter, a pseudorandom noise dithering scheme, and custom digital filters are key elements, by James S. Epstein, Glenn R. Engel, Donald R. Hiller, Glen L. Purdy, Jr., Bryan C. Hoog, Eric J. Wicklund, pg 12-17. 3561A.

Instrument Software for Dynamic Signal Analysis. With many combinations of setup parameters to choose from, friendly softkey control and autocalibration are required. Overlapped processing provides the necessary speed, by Glenn R. Engel, Donald R. Hiller, pg 17-19. 3561A.

FFT Implementation, by Bryan C. Hoog, pg 20

Index: Volume 35 January 1984 through December 1984. PART 1: Chronological Index, pg 21-22. PART 2: Subject Index, pg 22-25. PART 3: Model Number Index, pg 25-26. PART 4: Author Index, pg 26.

Authors December 1984: James [Jim] S. Epstein, Eric J. Wicklund, Bryan C. Hoog, Glen L. Purdy, Jr., Glenn R. Engel, Donald [Don] R. Hiller, Charles [Charlie] R. Panek, Steven [Steve] K. Kator, pg 27

Custom Digital Filters for Dynamic Signal Analysis. A paired-bit implementation increases processing speed without requiring a higher clock rate, by Charles R. Panek, Steven F. Kator, pg 28-35. FFT.

1983 – HP Journal Index

January 1983 v.34 n.1

Cover: HP-IL (Hewlett Packard Interface Loop)

HP-IL: A Low-Cost Digital Interface for Portable Applications. The Hewlett-Packard Interface Loop is a bit-serial interface bringing many capabilities formerly reserved for much larger computer systems to the growing repertoire of portable computers and handheld calculators, by Roger D. Quick, Steven L. Harper, pg 3-10

How Fast is the HP-IL? by Steve Harper, pg 7

HP-IL Interconnect System. Clever plugs and connectors and inexpensive two-wire cords connect HP-IL devices, by James H. Fleming, pg 8

[Authors:] Steven [Steve] L. Harper, Roger D. Quick, pg 9

The Electronics Interface for the Hewlett-Packard Interface Loop. This low-cost, low-power, serial interface uses two-wire cables, a three-level code, a CMOS IC, and small pulse transformers, by Carl J. Landsness, pg 11-16. HP-IL, 82160A.

[Author:] Carl J. Landsness, pg 16

A CMOS Integrated Circuit for the HP-IL Interface. This IC, available to OEM designers, makes it easy to add HP-IL capability to a product, by Steven L. Harper, pg 16-22

CMOSC: Low-Power Technology for Personal Computers. To meet the growing need for integrated circuits with more functions and lower power consumption, an improved CMOS process has been developed at HP’s Corvallis Division, by Craig S. Lage, Norman L. Johnson, David E. Hackleman, John J. Vietor, Robert L. Tillman, pg 23-29

What is a Latch-Up? pg 28

[Authors:] Norman [Norm] L. Johnson, David E. Hackleman, John J. Vietor, Robert [Bob] L. Tillman, Craig S. Lage, pg 29

Advanced Oven Design Assures Repeatability in New Gas Chromatograph. An innovative oven design gives the chromatographer access to the full capabilities of the new fused silica capillary columns, by Douglas H. Smith, Paul C. Dryden, Horace R. Johnson, Jr., pg 30-34. 5790A.

What is Gas Chromatography? Here’s some basic information for nonchemists, by Fred W. Rowland, pg 32-33

[Author:] Fred W. Rowland, pg 33

[Authors:] Douglas [Doug] H. Smith, Horace R. Johnson, Jr., Paul C. Dryden, pg 34

Electronic Flow Control: A New Level of Automation for Gas Chromatography. An innovative gas flow controller for the HP 5880A Gas Chromatograph offers a choice of pressure or mass flow control without instrument modification and independent of the gas measured, by Michael A. Casale, Andrew J. Murphy, J. Edwin Cusack, Kurt B. Augenblick, pg 35-40

Producing the Electronic Flow Controller, pg 38

[Authors:] Kurt B. Augenblick, J. Edwin [Ed] Cusack, Michael [Mike] A. Cassale, Andrew [Andy] J. Murphy, pg 40

February 1983 v.34 n.2

Cover: 3421A Data Acquisition/Control Unit

A Portable, Low-Cost, High-Performance Digital Multimeter for the HP-IL. HP’s first HP-IL instrument is the result of new design and manufacturing approaches. This DMM electronically calibrates itself, measures ac and dc voltages and currents, makes four-wire and two-wire resistance measurements, and uses a liquid-crystal display to output data measurement units, and alphanumeric messages, by Jack P. Trautman, Lawrence A. DesJardin, pg 3-10. 3468A.

HP-IB Version of 3468A, pg 5. 3478A.

The Philosophy Behind the Design, pg 8-9. 3468A.

[Authors:] Lawrence [Larry] A. DesJardin, Jack P. Trautman, pg 10

Low-Cost and Portability Come to Data Acquisition/Control Products. Inexpensive, portable data logging with the flexibility of a data acquisition/control system is now within the budget of nearly everyone making transducer measurements, by James J. Ressmeyer, pg 10-16. 3421A.

Data Acquisition and Control Software for the 3421A Using the HP-85 Computer. This system provides easy-to-use data logging capability at low cost, by David F. Leonard, pg 13-14

[Author:] David [Dave] F. Leonard, pg 14

[Author:] James [Jim] J. Ressmeyer, pg 16

Low-Cost Instrument Control: A New ROM for the HP-41 Handheld Computers. Now HP-41 users can control instruments to measure and analyze a variety of physical parameters on the bench or in the field, by David L. Wolpert, pg 16-19. 3468A, 44468A.

[Author:] David [Dave] L. Wolpert, pg 19

Electronic Mail for the Interactive Office. Here’s how electronic mail is implemented on the HP 3000 Computer System. HPMAIL lets users who aren’t familiar with computer technology exchange messages effectively within their organization, by Ian J. Fuller, pg 20-29

[Author:] Ian J. Fuller, pg 29

Integrated Tools Improve Programmer Productivity. This software subsystem for the HP 3000 Computer System saves program development time by giving the programmer access to several utilities through a single command interpreter, by Anil K. Shenoy, Carolyn M. Bircher, pg 30-36. HPToolset.

[Author:] Carolyn M. Bircher, Anil K. Shenoy, pg 36

March 1983 v.34 n.3

Cover: HP 64000 Logic Development System

Extensive Logic Development and Support Capability in One Convenient System. HP’s 64000 Logic Development Systems gets closer to the concept of an “electronic bench”. Real-time emulation, configuration flexibility, and integrated analysis functions are some features of this latest version of the 64000 System, by Michael W. Davis, John A. Scharrer, Robert G. Wickliff, Jr., pg 3-10

HP 64000 Terminal Software. Now a logic development station can exchange data and programs with large computers, by Paul D. Bame, pg 6

[Author:] Paul D. Bame, pg 6

The HP 64000 Measurement System. This software package can control and monitor any measurement operation in a 64000 System, by Kipper K. Fulghum, pg 8-9

[Author:] Kipper [Kip] K. Fulghum, pg 9

[Authors:] John A. Scharrer, Robert [Bob] G. Wickliff, Jr., Michael [Mike] W. Davis, pg 10

Mainframe Design for an Integrated Engineering Workstation. You can take the new 64110A Station with you to solve field problems. Both 64000 System stations now have dual flexible disc drives, by Alan J. DeVilbiss, Jeffrey H. Smith, Carlton E. Glitzke, pg 11-15

[Authors:] Carlton [Carl] E. Glitzke, Alan [Al] J. DeVilbiss, Jeffrey [Jeff] H. Smith, pg 15

A Modular Analyzer for Software Analysis in the 64000 System. Measuring software performance and tracing program flow is much easier with this new option, by Stan W. Bowlin, Steven R. Williams, Richard A. Nygaard, Jr., Frederick J. Palmer, Bryce S. Goodwin, Jr., pg 16-23. 64620S.

Range Detection in the 64620S State Analyzer, pg 18-19

Inverse Assembly for a General-Purpose Logic Analyzer, pg 21

[Authors:] Stan W. Bowlin, Frederick [Rick] J. Palmer, Richard [Rick] A. Nygaard, Jr., Bryce S. Goodwin, pg 22

[Author:] Steven [Steve] R. Williams, pg 23

A Modular Logic Timing Analyzer for the 64000 System. A dual-threshold mode, glitch detection, and a variety of triggering functions are some of this option’s features for the digital hardware designer, by Joel A. Zellmer, David L. Neuder, John E. Hanna, pg 23-30

[Authors:] David [Dave] L. Neuder, John [Ted] E. Hanna, Joel A. Zellmer, pg 30

Emulators for 16-bit Microprocessors. HP’s second-generation of emulators provides design support for a variety of new 16-bit devices, by John P. Romano, David B. Richey, pg 31-38

[Authors:] John P. Romano, David [Dave] B. Richey, pg 37

High-Level Language Compilers for Developing Microprocessor Systems. Choosing the right structure has important benefits for the user, by Joel D. Tesler, Martin W. Smith, pg 38-40

[Authors:] Joel D. Tesler, Martin [Marty] W. Smith, pg 40

April 1983 v.34 n.4

Cover: Laser Measurement System

A New Microcomputer-Controlled Laser Dimensional Measurement and Analysis System. Microcomputer control simplifies machine tool calibration. Other applications are in research and development, general-purpose metrology, and surface plate calibration, by Robert C. Quenelle, Lawrence J. Wuerz, pg 3-13. LMS, 5528A.

Dimensional Metrology Software Eases Calibration. An HP-85 Computer automates complex laser calibrations to save time and reduce errors, by Christopher Burns, Lawrence J. Wuerz, pg 4-5

Verifying the Laser Accuracy Specification, by Robert C. Quenelle, pg 8

Nonlinearity in Interferometer Measurements, by Robert C. Quenelle, pg 10

Automatic Compensation. Sensors help the laser measurement system compensate for atmospheric conditions and material temperature, by Deane A. Gardner, pg 12

[Authors:] Christopher [Chris] Burns, Deane A. Gardner, Lawrence [Larry] J. Wuerz, Robert [Bob] C. Quenelle, pg 13

Laser Optical Components for Machine Tool and Other Calibrations. Each optical component is designed to measure a particular degree of freedom of a machine tool, by Larry E. Truhe, David C. Woodruff, Richard R. Baldwin, pg 14-22. 5528A.

Manufacturing the Laser Tube. Custom-designed machines automate the process to control quality at every step, by Richard H. Grote, pg 17-18

[Author:] Richard [Dick] H. Grote, pg 18

Mechanical Design Features of the Laser Head. Low manufacturing cost and ease of repair are designed in, by Charles R. Steinmetz, pg 19-20

[Author:] Charles [Charlie] R. Steinmetz, pg 20

[Authors:] Larry E. Truhe, Richard [Dick] R. Baldwin, David [Dave] C. Woodruff, pg 22

Noise Figure Meter Sets Records for Accuracy, Repeatability, and Convenience. Noise figure measurements used to be mysterious, time consuming, difficult, and not very accurate. This instrument makes them quick, accurate and easy, by Howard L. Swain, Rick M. Cox, pg 23-34. 8970A.

A Noise Source for Noise Figure Measurements, by Donald R. Chambers, pg 26-27

Verifying the 8970A’s Accuracy in Production, by Harry Bunting, pg 28

Appendix: Noise Figure Basics, pg 33-34

[Authors:] Rick M. Cox, Howard L. Swain, pg 34

Laboratory Notebook: Mass Storage Unit Exerciser. The problem was how to provide built-in diagnostics for a flexible disc drive that didn’t come with them, by Jin-ichi Ikemoto, pg 35-36. 4145A.

May 1983 v.34 n.5

Cover: HP 8673A Synthesized Signal Generator

2-to-26.5-GHz Synthesized Signal Generator Has Internally Leveled Pulse Modulation. This second-generation instrument features microprocessor control, sophisticated sweep capabilities, programmability, and enhanced serviceability, by William W. Heinz, Paul A. Zander, pg 3-9. 8673A.

Sample-and-Hold Leveling System. A logarithmic amplifier in the feedback loop reduces the effects of loop-gain variations, by Ronald K. Larson, pg 7. 8673A.

[Authors:] William [Bill] W. Heinz, Paul A. Zander, pg 9

A Wideband YIG-Tuned Multiplier and Pulsed Signal Generation System. This system enhances output power and frequency range and reduces pulse rise time for HP’s latest synthesized signal generator, by Lawrence A. Stark, Ronald K. Larson, pg 10-16. 8673A.

Autopeaking. A small amount of hardware and some microprocessor code adjusts a YIG-tuned multiplier to the center of its passband, by Paul A. Zander, pg 12-13

[Authors:] Lawrence [Larry] A. Stark, Ronald [Ron] K. Larson, pg 16

Compact Digital Cassette Drive for Low-Cost Mass Storage. This portable battery-operated unit uses minicassettes to store programs and data inexpensively for HP-IL systems, by David J. Shelley, William A. Buskirk, Charles W. Gibson, pg 17-24. 82161A.

[Authors:] William [Bill ‘Buzzy’] Buskirk, Charles [Charlie] W. Gilson, David [Dave] J. Shelley, pg 24

Scientific Pocket Calculator Extends Range of Built-In Functions. Matrix operations, complex number functions, integration, and equation solving are only some of the numerous preprogrammed capabilities of HP’s latest scientific calculator, the HP-15C, by Joseph P. Tanzini, Paul J. McClellan, Eric A. Evett, pg 25-35

[Authors:] Paul J. McClellan, Joseph [Joe] P. Tanzini, pg 35

A Pocket Calculator for Computer Science Professionals. This compact, yet powerful pocket calculator is designed for technical professionals working in computer science and digital electronics. Boolean operations and bit manipulation are some of its capabilities, by Eric A. Evett, pg 36-40. HP-16C.

Real [Floating-Point] Format, pg 37

Using the HP-16C, pg 38-39

[Author:] Eric A. Evett, pg 40

June 1983 v.34 n.6

Cover: Magnetic card reader of the HP-75 Portable Computer

A Portable Computer for Field, Office, or Bench Applications. This lightweight, battery-powered computer has features that make it an ideal tool for the traveling professional, by Anthony S. Ridolfo, Donald E. Morris, Donald L. Morris, pg 3-9. HP-75.

A Telephone Interface for HP-IL Controllers. Now you can access a remote computer system from your hotel room or a telephone booth, by Brian G. Spreadbury, Sidnee Snell, pg 5-6. 82168A.

HP-IL and the HP-75 Portable Computer. HP’s interface loop lets the HP-75 control a variety of portable peripherals to store and receive data and print results, by Dennis C. York, pg 8-9

High-Capability Electronics Systems for a Compact, Battery-Operated Computer. Here’s how to pack a computer with an integral display and card reader into a small ESD-resistant package, by Elizabeth Brooks, Timothy F. Myers, Robert J. Livengood, Rex C. Smith, pg 10-15. HP-75.

Packaging a Portable Computer, by Lee S. Mason, Gary G. Lutnesky, pg 12

Electrostatic Discharge Protection for the HP-75, by Gregory J. May, pg 14

Handpulled Magnetic Card, Mass Storage System for a Portable Computer. Behind the elegant, simple design of the HP-75’s internal card reader is some clever engineering work, by Kenneth R. Hoecker, James R. Schwartz, Francis A. Young, Dean R. Johnson, pg 15-23

The HP-75 Production Card Recorder. To supply quality blank and application program cards in quantity is the job of this high-volume, ultra reliable system, by David B. Patton, pg 20-21

Integration of the HP-75’s Handpulled Card Reader Electronics in CMOS. Both analog and digital circuits are on a single IC, by Billy E. Thayer, Thomas J. Arnold, pg 24-26

A New Family of Pulse and Pulse/Function Generators. Here are three compact, easy-to-use instruments with the versatility needed for analog and digital applications over wide frequency and amplitude ranges , by Helmut Rossner, Uwe Neumann, Michael Fleischer, pg 27-32. 8116A, 8111A, 8112A.

Feedback Amplifier Has Push-Pull Voltage Output Stage, by Michael Fleischer, pg 30

Designing Bipolar Integrated Circuits for a Pulse/Function Generator Family. The varied capabilities of the three instruments in this family begin with the same three ICs, by Volker Eberle, Stephan Traub, Horst Schweikardt, Christian Hentschel, Adolf Leiter, pg 33-38

Authors June 1983: Donald [Don] E. Morris, Donald [Don] L. Morris, Anthony [Tony] S. Ridolfo, Brian G. Spreadbury, Sidnee Snell, Dennis C. York, Elizabeth [Beth] Brooks, Rex. C. Smith, Robert [Bob] J. Livengood, Timothy [Tim] F. Myers, Francis [Raan] A. Young, Kenneth [Ken] Hoecker, Dean R. Johnson, James [Jim] R. Schwartz, David [Dave] B. Patton, Thomas [Tom] J. Arnold, Billy [Bill] E. Thayer, Michael Fleischer, Helmut Rossner, Uwe Newmann, Christian Hentschel, Stefan Traub, Adolf Leiter, Horst Schweikardt, Volker Eberle, pg 38-40

July 1983 v.34. n.7

Cover: Three views, at progressively finer resolution, of the timing diagram of a RAM

A High-Speed System for AC Parametric Digital Hardware Analysis. This new 50-MHz stimulus-response system is a state-of-the-art tool for comprehensive and rapid characterization of all types of digital circuits, by Andreas Wilbs, Klaus-Peter Behrens, pg 3-7. 8180A/81A/82A.

Parametric Characterization of Digital Circuits, pg 4

A High-Speed Data Generator for Digital Testing. It offers high timing accuracy, precise pulse-level definition, ease of operation, and versatility, by Werner Berkel, Heinz Nussle, Josef Becker, Ulrich Hubner, pg 7-14. 8180A/81A/82A.

High-Speed Data Analyzer Tests Threshold and Timing Parameters. Two innovative features are programmable sampling point delay and real-time compare mode, by Bernhard Roth, Ulrich Schottmer, Martin Dietze, Dieter Kible, pg 14-25. 8182A.

What is Window Comparison?, by Martin Dietze, pg 15

Generation of Analog Voltages, by Bernhard Roth, pg 18

Testing the Key Specification of the 8182A, by Bernhard Roth, pg 20

Interfacing the Device Under Test, by Horst Link, pg 23

Data Analyzer Software/Firmware Design. A skilled task dispatcher makes full use of the interrupt structure of the CPU, by Roberto Mottola, Eckhard Paul, pg 25-28. 8182A.

Power Supplies for the Stimulus/Response System. The objectives were high load current and serviceability within a restricted space, by Ulrich Otto, Horst Link, pg 28-31. 8180A/81A/82A.

New Multi-Frequency LCZ Meters Offer Higher-Speed Impedance Measurements. These instruments, combined with an optional interface and a component handler, make production-line measurements of the impedance parameters of discrete electronic components rapidly and accurately at actual operating frequencies, by Takeshi Kyo, Toshio Tamamura, Tomio Wakasugi, pg 32-38. 4277A, 4276A.

Comparator, pg 34

High-Speed Programmable dc Bias Options, pg 37

History from the Pages of the Hewlett-Packard Journal. A book of articles from past issues is underway, to be titled “Inventions of Opportunity: Matching Technology with Market Needs”, by R. P. Dolan, pg 38

Authors July 1983: Klaus-Peter Behrens, Andreas Wilbs, Werner Berkel, Ulrich Hubner, Josef [Jo] Becker, Neinz Nussle, Ulrich Schottmer, Martin Dietze, Dieter Kible, Bernhard Roth, Roberto Mottola, Eckhard Paul, Horst Link, Ulrich Otto, Takeshi Kyo, Toshio Tamamura, Tomio Wakasugi, pg 39-40

August 1983 v. 34 n.8

Cover: Finstrates (a brand new method of mounting chips)

VLSI Technology Packs 32-Bit Computer System into a Small Package. The new HP 9000 Computer is a compact, highly capable 32-bit computer system that incorporates five very dense integrated circuits made by a highly refined NMOS process, by S. Dana Seccombe, Eugene R. Zeller, Joseph W. Beyers, pg 3-6

Acknowledgments: Bringing these complex technologies to production in late 1982 was the result of the determination and dedication of many people, pg 6

An 18-MHz, 32-Bit VLSI Microprocessor. This NMOS IC contains over 450,000 transistors, by Mark E. Hammer, Darius F. Tanksalvala, Kevin P. Burkhart, Mark A. Forsyth, pg 7-11. 9000.

Instruction Set for a Single-Chip 32-Bit Processor. A stack-oriented design using segmentation forms this command set, by James G. Fiasconaro, pg 9-10

VLSI I/O Processor for a 32-Bit Computer System. this IC uses the same basic circuits as the CPU chip, by Fred J. Gross, Donald R. Weiss, William S. Jaffe, pg 11-14. 9000.

High-Performance VLSI Memory System. This system provides 256K bytes of memory per card and has a bandwidth of 36M byte/s, by Joseph P. Fucetola, Clifford G. Lob, Mark A. Ludwig, Mark J. Reed, pg 14-20. 9000.

18-MHz Clock Distribution System. A clock IC provides buffered two-phase, nonoverlapping clocks, by Clifford G. Lob, Alexander O. Elkins, pg 17

128K-Bit NMOS Dynamic RAM with Redundancy. Extra rows and columns improve chip yield, by John R. Spencer, Dale R. Beucler, John K. Wheeler, Charlie G. Kohlhardt, pg 20-24. 9000.

Polysilicon Link Fusing and Detection Circuit, by Douglas F. DeBoer, pg 23

Finstrate: A New Concept in VLSI Packaging. Finstrate combines a copper fin for heat conduction and dissipation with a multilayer substrate for low-capacitance interconnection between ICs, by Glen E. Leinbach, Jeffery J. Straw, Guy R. Wagner, Arun K. Malhotra, pg 24-26

NMOS-III Process Technology. Refractory metallization, external contact structures,

1.5-mm wide lines and 1.0-mm spaces are used in this VLSI process, by Arun K. Malhotra, S. Dana Seccombe, Fung-sun Fei, James M. Mikkelson, pg 27-30. 9000.

Polysilicon Link Design, by Wiliam C. Terrell, pg 28

Automated Parameter Testing, by Fredrick P. LaMaster, O. Douglas Fogg, pg 29

Two-Layer Refractory Metal IC Process. Tungsten metallization reduces the risk of electromigration failure, by Daniel D. Kessler, Donald E. Novy, Jr., David W. Quint, Norman E. Hendrickson, James P. Roland, pg 30-32

Defect Control for Yield Improvement, by Lawrence A. Hall, pg 33

NMOS-III Photolithography. Step-and-repeat optical lithography, two-layer resist, and pellicles are salient features, by Martin S. Wilson, Keith G. Bartlett, Howard E. Abraham, Gary L. Hillis, Mark Stolz, pg 34-37

Yield Improvement by Use of Pellicles, by Robert Slutz, pg 36

Authors August 1983: Eugene [Gene] R. Zeller, S. Dana Seccombe, Joseph [Joe] W. Beyers, Kevin P. Burkhart, Darius F. Tanksalvala, Mark A. Forsyth, Mark E. Hammer, James [Jim] G. Fiasconaro, Donald [Don] R. Weiss, William [Bill] F. Jaffe, Fred J. Gross, Clifford [Cliff] G. Lob, Mark J. Reed, Joseph [Joe] Fucetola, Mark A. Ludwig, Alexander O. Elkins, Dale R. Beucler, John K. Wheeler, John R. Spencer, Charles [Charlie] G. Kohlhardt, Glen E. Leinbach, Arun K. Malhotra, Jeffery [Jeff] J. Straw, Guy R. Wagner, Fung-Sun Fei, James [Jim] M. Mikkelson, Norman [Norm] E. Hendrickson, Donald [Don] E. Novy, Jr., Daniel [Dan] D. Kessler, David [Dave] W. Quint, James [Jim] P. Roland, Gary L. Hillis, Howard E. Abraham, Mark Stolz, Keith G. Bartlett, Martin [Marty] S. Wilson, pg 37-40

September 1983 v.34 n.9

Cover: A brightly colored space-fantasy and other slides created on the HP 2700

A Color Presentation Graphics Workstation. Here’s a remarkable new workstation family for presentation graphics design, decision support graphics, and graphic art. It features powerful, easy-to-use application software and full block-mode terminal capabilities, by William R. Taylor, Kenneth A. Mintz, Catherine M. Potter, Sharon O. Mead, pg 3-8. 2700.

A System for Creating Graphics Presentations, by John Alburger, Diane Rodriguez, pg 7

ROM/RAM Intrinsics Strategy, pg 8

Designing Software for High-Performance Graphics. It had to offer advanced graphics features and yet be compatible with other HP graphics terminals, by Robert R. Burns, Dale A. Luck, pg 9-14. 2700.

Logic Design for a Graphics Subsystem. Dedicated graphics hardware provides a quick response time, by Craig W. Diserens, Curtis L. Dowdy, William R. Taylor, pg 15-18. 2700.

A High-Resolution Color Monitor. It produces 4096 pure colors and is easy to align, by Paul G. Winninghoff, Mark Hanlon, Geoffrey G. Moyer. 2700.

EMI Entanglements, by Geoff Moyer, pg 19

HP 2700 Power Supply, by Craig Diserens, pg 20

The Graphics Workstation as an Extensible Computer Terminal. The terminal subsystem provides an alphanumeric display, keyboard control, datacom, and local device control, by Thomas K. Landgraf, Stephen P. Pacheco, Paula H. Ng, Otakar Blazek, Edward Tang, pg 22-25. 2700.

A Computer-Aided Test and Tracking System. The test system and the product were designed together, by Charles W. Andrews, Michael R. Perkins, Susan Snitzer, pg 25-28

Product Design of a Friendly Color Graphics Workstation. It doesn’t intimidate the user because of its size, noise level, or apparent complexity, by Badir M. Mousa, Dennis C. Thompson, Kenneth D. Boetzer, Mark A. Della Bona, pg 28-29. 2700.

Display Enhancement, by Bud Mousa, pg 29

HP 2700 Graphics Input Devices, by Bud Mousa, Dennis Thompson, pg 30-31

AUTOPLOT/2700: A Single Approach to Custom Chart Generation. This software will make most of the decisions or leave them to the user, by John M. Perry, Stanley A. Balazer, pg 31-34

PAINTBRUSH/2700: A General-Purpose Picture Creator. Whether novice or expert, the graphic artist can create pictures naturally and interactively, by John R. Alburger, Jim L. Davis, Diane A. Rodriguez, Barbara A. Stanley, pg 34-37

Implementing HP 2700 Applications Software, by Jim Davis, Diane Rodriguez, pg 26

Authors September 1983: Sharon O. Mead, Catherine [Cathy] M. Potter, William [Bill] R. Taylor, Kenneth [Ken] A. Mintz, Dale A. Luck, Robert [Bob] A. Burns, Craig W. Diserens, Curtis [Curt] L. Dowdy, Geoffrey [Geoff] G. Moyer, Paul G. Winninghoff, Mark Hanlon, Stephen [Steve] P. Pacheco, Edward [Ed] Tang, Paula H. Ng, Otakar [Oty] Blazek, Thomas [Tom] K. Landgraf, Michael [Mike] R. Perkins, Charles W. Andrews, Susan [Susie] Snitzer, Mark A. Della Bona, Dennis [Denny] C. Thompson, Kenneth [Ken] D. Boetzer, Badir [Bud] M. Mousa, John M. Perry, Stanley [Stan] A. Balazer, Jim L. Davis, John R. Alburger, Barbara A. Stanley, Diane A. Rodriguez, pg 38-40

October 1983 v.34 n.10

Cover: HP 77020A Ultrasound Imaging System

Ultrasound Imaging: An Overview. By using a beam of ultrasound, it is possible to look at organs and other structures inside the human body without breaking the skin, by Arthur M. Dickey, H. Edward Karrer, pg 3-6

History of HP’s Ultrasound System. Developing this complex system required the contributions of many people at Hewlett-Packard Laboratories and HP’s Andover Division, by John T. Hart, pg 5. 77020A.

An Ultrasound Imaging System. This instrument views the internal organs and tissues of the human body in real time by directing a beam of short ultrasound pulses into the body and then receiving and processing the acoustic echoes to form a displayed image, by Lawrence W. Banks, pg 6-11. 77020A.

Quantitative Analysis for Ultrasound Imaging. This software allows the cardiologist or obstetrician to measure the length and calculate the area or volume of structures displayed by an ultrasound image, by Rachel M. Kinicki, pg 8-9. 77020A.

Authors October 1983: H. Edward [Ed] Karrer, Arthur [Art] M. Dickey, Lawrence [Larry] W. Banks, Rachel M. Kinicki, Richard L. Popp, M.D., John D. Larson III,  David [Dave] G. Miller, George [Tony] A. Fisher, Thomas [Tom] L. Szabo, Gary A. Seavey, Steven [Steve] C. Leavitt, Barry F. Hunt, Hugh G. Larsen, Richard [Jim] J. Conrad, Richard [Rick] A. Snyder, Paul A. Magnin, pg 11-12

A Physician’s View of Echocardiographic Imaging. Effectively applying the advantages of ultrasound imaging to medical diagnosis requires good equipment and training, by Richard L. Popp, M.D, pg 13-16

An Acoustic Transducer Array for Medical Imaging – Part I. The basic design and fabrication constraints are described, by John D. Larson III, pg 17-22

An Acoustic Transducer Array for Medical Imaging – Part II. An equivalent circuit model simplifies the design process, by David G. Miller, pg 22-26

Transducer Test System Design. This automatic system simplifies the measurement of a variety of acoustic and electrical parameters, by George A. Fisher, pg 24-25

Radiated Power Characteristics of Diagnostic Ultrasound Transducers. Accurate measurement of acoustic energy is important in ensuring patient safety, by Thomas L. Szabo, Gary A. Seavey, pg 26-29

A Scan Conversion Algorithm for Displaying Ultrasound Images. Converting acoustic data in polar coordinates to an undistorted display in rectangular coordinates requires a special technique, by Steven C. Leavitt, Hugh C. Larsen, Barry F. Hunt, pg 30-34. 77020A.

Ultrasound Image Quality. Many parameters affect the quality of an ultrasound image, by Richard J. Conrad, Richard A. Snyder, pg 34-38

Coherent Speckle in Ultrasound Images. This phenomenon is often misinterpreted, but with better understanding, could be used to characterize tissue by Paul A. Magnin, pg 39-40

November 1983 v.34 n.11

Cover: Color Business Chart created on a computer

Device-Independent Software for Business Graphics. New programs fortify the electronic office with a choice of graphics interfaces, by Yvonne Temple, pg 3-4. DSG/3000, HPEASYCHART, 17623A, HPDRAW, 2680A.

A Decision Support Chartmaker. Two user interfaces satisfy the needs of both the nonprogrammer and the sophisticated user, by Richard J. Simms, Jr., Janet Elich Morris, pg 5-9. DSG/3000.

An Easy-to-Use Chartmaker. It’s the simplest way for a nonprogrammer to get a professional-looking chart, by Robert W. Dea, Martha Seaver, Richard J. Simms, Jr., pg 10-12. HPEASYCHART.

Convenient Creation and Manipulation of Presentation Aids. Draw figures freehand or choose them from a library, then edit them by point-and-push methods, by Janet Swift, Chayaboon Purnaveja, pg 13-17. HPDRAW.

Graphics Capabilities on a Laser Printer. Printers do text documents and plotters produce graphics but this printer can do the whole job, by William J. Toms, James C. Bratnober, Tamara C. Baker, Gerald T. Wade, pg 17-22. 2680A.

Special Report: The Center for Integrated Systems. Hewlett-Packard is helping to launch a new research facility at Stanford – and a new approach to industry-university relations in the U.S.A, by Frederick H. Gardner, pg 23-30

CIS Research Topics, pg 29

Authors November 1983: Yvonne Temple, Richard [Rich] J. Simms, Jr., Janet Elich Morris, Robert [Bob] W. Dea, Martha Seaver, Janet Swift, Chayaboon [Audi] Purnaveja, Gerald [Gerry] T. Wade, William [Bill] J. Toms, James [Jim] c. Bratnober, Tamara [Tammy] C. Baker, Frederick [Fred] H. Gardner, pg 31-32

Hewlett-Packard Journal Book Now Available: Inventions of Opportunity: Matching Technology with Market Needs, pg 32

December 1983 v.34 n.12

Cover: Fused Silica Columns

Control Hardware for an Ultrasound Imaging System. Coordinating the various subsystems, peripherals, and operator commands for HP’s diagnostic imaging system requires sophisticated controller hardware, by John N. Dukes, Janet R. Accettura, Richard H. Jundanian, pg 3-5. 77020A, 77900A.

Ultrasound System Software. Coordinating the operation of the complex subsystem in HP’s ultrasound imaging system is a comprehensive software system using an internal bus based on the HP-IB, by Joseph M. Luszcz, William A. Koppes, Robert J. Kunz, David C. Hempstead, pg 6-13. 77020A, 77900A, 77200A, 77400A.

Electronic Scanner for a Phased-Array Ultrasound Transducer. This subsystem controls the transmission and reception of ultrasound pulses by 64 transducer elements to scan a 90° sector and collect data for an ultrasound image, by James T. Fearnside, Sydney M. Karp, Ronald D. Gatzke, pg 13-20. 77020A.

A Mixing Scheme to Focus a Transducer Array Dynamically. An architecture based on a common summing delay line eliminates the need for many separate delay lines, by Robert N. McKnight, pg 16-17

Display System for Ultrasound Images. This subsystem collects digital data from ultrasound scanning and physiological waveforms from other amplifiers and processes them for display in a rectangular raster-scan format, by James R. Mniece, Raymond G. O’Connell, Alwyn P. D’Sa, pg 20-28. 77400A, 77020A.

Video Recording of Ultrasound Images, by James R. Mniece, pg 24-25

Authors December 1983: John N. Dukes, Richard [Rich] H. Jundanian, Janet [Jan] R. Accettura, William [Bill] A. Koppes, Joseph [Joe] M. Luszcz, David [Dave] C. Hempstead, Robert [Bob] J. Kunz, James [Jim] T. Fearnside, Sydney M. Karp, Ronald [Ron] D. Gatzke, Robert [Bob] N. McKnight, Alwyn P. D’Sa, James [Jim] R. Mniece, Raymond [Ray] G. O’Connell, Jr., Bruce L. Ryder, Thomas [Tom] J. Stark, Paul A. Larson, pg 28-29

Index: Volume 34 January 1983 through December 1983. PART 1: Chronological Index, pg 30-31. PART 2: Subject Index, pg 31-33. PART 3: Model Number Index, pg33-34. PART 4: Author Index, pg 34.

Fused Silica Capillary Columns for Gas Chromatography. Here’s how collaborative research between HP’s chemical analysis and optoelectronics laboratories created a vastly superior GC column, by Thomas J. Stark, Bruce L. Ryder, Paul A. Larson, pg 35-40

1982 – HP Journal Index

January 1982 v.33 n.1

Cover: 1345A Graphics Display Module

Signal Processing Using Surface Acoustic Waves. If electrical signals are converted into minute acoustic waves on the surface of a piezoelectric crystal, the signals can be processed in novel ways for various electronic applications. Such devices are small, rugged, and can be fabricated using microelectronic techniques, by William R. Shreve, pg 3-8. SAW.

Radio Data Link, by W. R. Shreve, pg 7

[Author:] William [Bill] R. Shreve, pg 8

Retrofitting for Signature Analysis Simplified. This microprocessor exerciser provides preprogrammed external stimulus routines and monitoring circuits for signature analysis troubleshooting of microprocessor-based systems, by Robert Rhodes-Burke, pg 9-16. 5001A.

Signature Analysis Reviewed, pg 10

The First Hewlett-Packard Journal Reader Opinion Survey, 4 pages, between pg 14 & pg 15

[Author:] Robert [Bob] Rhodes-Burke, pg 16

A Family of Microprocessor Exercisers, by David Rick, Robert Welsh, Waymond Fong, pg 17-19. 5001 Series.

A Fast, Compact High-Quality Digital Display for Instrumentation Applications. Small size, low cost, and a simple digital interface make it easier for designers to build this directed-beam CRT display into their electronic instruments, by William R. Mason, Kunio Hasebe, Thomas J. Zamborelli, pg 20-28. 1345A.

Instrumentation Graphics, pg 24

[Authors:] Thomas [Tom] J. Zamborelli, Junio Hasebe, William [bill] R. Mason, pg 27

February 1982 v.33 n.2

Cover: Model 8350A microwave Sweep Oscillator

A Broadband, Fully Programmable Microwave Sweep Oscillator. Nearly thirty RF and microwave plug-in modules are available to tailor this high-performance swept signal source to a wide range of applications in the frequency range from 10 MHz to 26.5 GHz, by Rolf Dalichow, Douglas E. Fullmer, pg 3-10. 8350A.

A System-Oriented Instrument, pg 7.

8350A Self-Test Capabilities, by Bill McDonald, pg 10

[Authors:] Douglass [Doug] E. Fullmer, Rolf Dalichow, pg 10

A New Series of Programmable Sweep Oscillator Plug-ins. One plug-in sweeps from 10 MHz to 26.5 GHz and there are many others, by Duaine C. Wood, Gary W. Holmlund, Glenn E. Elmore, pg 11-21. 83500 Series.

A Switched YIG-Tuned Multiplier Covering 0.01 to 26.5 GHz, by Lynn Rhymes, pg 15

A Frequency Doubler with High Output Power from 18 to 26.5 GHz, by Val Peterson, Jerry Orr, pg 17-18

A Broadband 2-to-7-GHz Power Amplifier, by Michio Furukawa, pg 20

[Authors:] Duaine C. Wood, Gary W. Holmlund, Glenn E. Elmore, pg 21

Portable Defibrillator-Monitor for Cardiac Resuscitation. This new portable defibrillator monitors the patient, measures its effectiveness in delivering a high-voltage pulse to the patient, and provides a permanent record of the resuscitation procedure, by Victor C. Jones, Paul I. Bennett, pg 22-28. 78660A.

[Authors:] Victor [Vic] C. Jones, Paul I. Bennett, pg 28

March 1982 v.33 n.3

Cover: Hewlett Packard’s largest computer, the HP 3000 Series 64

High-Performance Computing with Dual ALU Architecture and ECL Logic. This largest and fastest HP 3000 Computer System can handle all of the data processing needs of many companies, by Frederic C. Amerson, Mark S. Linsky, Elio A. Toschi, pg 3-12. Series 64.

Dual ALU Micromachine Has Powerful Development Tools. A single line of microcode controls two parallel processing units, by Richard D. Murillo, pg 5-6. HP 3000 Series 64.

[Author:] Richard [Rick] D. Murillo, pg 6

[Authors:] Frederic [Rick] C. Amerson, Elio A. Toschi, Mark S. Linsky, pg 10

Powerful Diagnostic Philosophy Reduces Downtime. A customer’s computer can be fully diagnosed without making any trips to the site, by Richard F. DeGabriele, David J. Ashkenas, pg 11-14. Series 64.

[Authors:] Richard [Rick] F. DeGabriele, David J. Ashkenas, pg 14

A High-Performance Memory System with Growth Capability. High-speed control store, cache memory and I/O buffers provide quick CPU access to needed data, by Malcolm E. Woodward, Ken M. Hodor, pg 15-17. HP 3000 Series 64.

[Authors:] Ken M. Hodor, Malcolm [Woody] E. Woodward, pg 17

An Input/Output System for a 1-MIPS Computer. I/O adapters match multiple I/O buses to the high-speed central system bus, by W. Gordon Matheson, J. Marcus Stewart, pg 18-21. HP 3000 Series 64.

[Authors:] J. Marcus [Marc] Stewart, W. Gordon Matheson, pg 21

The Advanced Terminal Processor: A New Terminal I/O Controller for the HP 3000. It’s designed to handle up to 256 terminals generating 4000 characters/second with peaks to 20,000, by James E. Beetem, pg 22-25. HP 3000 Series 64

[Author:] James [Jim] E. Beetem, pg 25

GUEST – a Signature Analysis Based Test System for ECL Logic. It runs at real-time clock rates and generates test vectors algorithmically, by James L. Robertson, Edward R. Holland, pg 26-29. HP 3000 Series 64.

Designing for Testability with GUEST. The HP 3000 Series 64 and its tester were designed together, by Karen L. Meinert, pg 28

[Author:] Karen L. Meinert, pg 28

[Authors:] James [Jim] L. Robertson, Edward [Ed] R. Holland, pg 29

Packaging the HP 3000 Series 64. The goal was a cost-effective package that maximizes reliability and serviceability, by Bennie E. Helmso, Manmohan Kohli, pg 30-32

[Authors:] Manmohan [Manny] Kohli, Bennie [Ben] E. Helmso, pg 32

April 1982 v.33 n.4

Cover: Model 3724A/25A/26A Baseband Analyzer

An Integrated Test Set for Microwave Radio Link Baseband Analysis. This instrument combines six traditional test instruments into one package for easy baseband measurements from 50 Hz to 18.6 MHz. An internal microprocessor simplifies test setup, improves accuracy, and enables the instrument to check itself, by Richard J. Roberts, pg 3-7. 3724A/25A/26A.

White-Noise Testing of FDM Communication Links, pg 6

[Authors:] Richard J. Roberts, pg 7

Design of a Precision Receiver for an Integrated Test Set. Different baseband measurements require different and often conflicting receiver characteristics. This design can be reconfigured by a microprocessor to resolve such conflicts, by J. Guy Douglas, David Stockton, pg 8-17. 3724A/25A/26A.

System Software Package for the Baseband Analyzer, pg 17

[Authors:] David Stockton, J. Guy Douglas, pg 17

Control and Display System for a Baseband Analyzer. This system relieves the operator of the task of setting up various instruments for baseband analysis and displays results in both alphanumeric and trace formats, by Brian W. Woodroffe, Lawrence Lowe, pg 18-22. 3724A/25A/26A.

Checking 458,752 Bits of Program Memory, pg 20

[Authors:] Brian W. Woodroffe, Lawrence Lowe, pg 20

Microprocessor Contributions for Baseband Analyzer Accuracy and Speed of Measurement, pg 21. 3724A/25A/26A.

A Combined Tracking and White-Noise Generator. Accurate sine-wave and white-noise stimuli are required for analyzing baseband signals and this generator provides both, by John R. Pottinger, Stephen A. Biddle, pg 22-25. 3724A/25A/26A.

[Authors:] John R. Pottinger, Stephen A. Biddle, pg 25

Wideband, Fast-Writing Oscilloscope Solves Difficult Measurement Problems. A new expansion storage cathode ray tube and a wideband amplifier design extend the writing rate frontier to 2000 cm/ms, by James F. Haley, Danny J. Oldfield, pg 26-32. 1727A.

Variable Persistence, pg 29

[Authors:] James [Jim] F. Haley, Danny [Dan] J. Oldfield, pg 32

May 1982 v.33 n.5

Cover: Model 9386A Desktop Computer

Advanced Multilingual Computer Systems for Measurement Automation and Computer-Aided Engineering Applications. Developing and running a test, measurement and control, or computer-aided engineering system is much easier if you have the right tool. These computer systems are designed specifically for such use, by David W. Palermo, John L. Bidwell, pg 3-7. 9826A, 9836A.

9826A versus 9836A, by Steve Chorak, Jon Rubinstein, pg 4

[Authors:] John L. Bidwell, David W. Palermo, pg 6

Hardware Design for an Integrated Instrumentation Computer System. This desktop computer design is centered around a fast 16-bit microprocessor and integrated CRT display and flexible disc storage units, by James W. McLucas, Don D. Stewart, Robert J. Horning, Ronald G. Rogers, Ken L. Burgess, pg 7-17. 9826A, 9836A.

Product Design for Easy Production, by Dave Brown, Pat Balliew, John Armour, pg 10-11

Instrument Burn-In, by Ken Fedraw, pg 15-16

[Authors:] Ronald [Ron] G. Rogers, Ken L. Burgess, pg 16

[Authors:] Robert [Rob] J. Horning, James [Jim] W. McLucas, Don D. Stewart, pg 17

I/O Philosophy and Architecture for Instrumentation Control. A nonstructured approach provides a new series of I/O cards that have improved performance at a lower cost, by Loyd F. Nelson, pg 17-21. 9826A, 9836A.

[Author:] Loyd F. Nelson, pg 21

Low-Cost Printers for the 9826A and 9836A Computers. This family of compatibly packaged thermal printers provides quality hard copy of alphanumeric text and graphics displays, by Michael J. Sproviero, pg 22-24. 2670 Series, 2673A.

[Author:] Michael [Mike] J. Sproviero, pg 23

The 9826A/9836A Language Systems. BASIC, HPL, and a powerful version of Pascal can all be used by a single 9826A or 9836A Computer System, by Kathryn Y. Kwinn, Roger E. Ison, Robert M. Hallissy, pg 24-32

BCD Arithmetic on the 68000, by Andy Goris, pg 29

[Authors:] Kathryn [Kathy] Y. Kwinn, Robert [Bob] M. Hallissy, Roger E. Ison, pg 32

Data Communications for the 9826A and 9836A Computer Systems. The serial data communications interface handles many asynchronous protocols and drives a variety of RS-232-C peripherals, by Robert P. Uhlrich, Carl M. Dierschow, pg 33-36

Protocols, pg 34

Electrical Standards, pg 35

[Authors:] Carl M. Dierschow, Robert [Bob] P. Uhlrich, pg 35

June 1982 v.33. n.6

Cover: Model 2680 Laser Printing System

Laser Printing System Provides Flexible, High-Quality, Cost-Effective Computer Output. Used with the HP 3000 family of distributed data processing systems, this combination of powerful, interactive software and innovative, state-of-the-art hardware produces excellent print quality on notebook-size paper at 45 pages per minute, by James A. Hall, pg 3-8. 2680A.

Six Steps to a Printed Page. Here are the details of the electrophotographic process used in HP’s laser printing system, by Robert R. Hay, pg 6-7. 2680A.

[Author:] Robert [Bob] R. Hay, pg 7

[Author:] James [Jim] A. Hall, pg 8

Laser Printing System Architecture. It’s based on the concept of a cell printer that can be of arbitrary rectangular size and contain any dot pattern, by James T. Langley, pg 8-10. 2680A.

[Author:] James [Jim] T. Langley, pg 10

Interactive Software for Intelligent Printers. Two high-level software packages for the HP 3000 help the user design and format laser printer output, by Kathleen A. Fitzgerald, pg 10-16. 2680A.

[Author:] Kathleen [Kathy] A. Fitzgerald, pg 16

Electrostatic Image Formation in a Laser Printer. The laser beam causes a pattern of charged and uncharged areas to be formed on the surface of a cylindrical drum, by Erwin H. Schwiebert, Paul R. Spencer, pg 16-20

[Authors:] Paul Spencer, Erwin H. Schwiebert, pg 19

Laser Printer Image Development System. In this discharged-area development system, electrostatic forces drive black toner into the drum areas exposed to the laser beam, by Thomas Camis, pg 20-24. 2680A.

[Author:] Thomas [Tom] Camis, pg 23

Laser Printer Fusing System. After being transferred from the drum to the paper, the toner is made permanent by a novel heating method, by Roger D. Archibald, pg 24-26. 2680.

[Author:] Roger D. Archibald, pg 26

Monitoring the Laser Printing Process. Here’s how the laser printer checks itself to maintain print quality for hundreds of thousands of pages, by Ronald A. Juve, David K. Donald, pg 26-30. 2680A.

[Authors:] David [Dave] K. Donald, Ronald [Ron] A. Juve, pg 30

Specialized High-Speed Electronics for Document Preparation Flexibility. This sophisticated controller harnesses the laser printing technology so its potential can be made easily available to the user, by Philip Gordon, pg 30-35. 2680A.

[Author:] Philip [Phil] Gordon, pg 35

The People Who Made the Product. The 2680 program manager gives credit where it’s due, by Billie J. Robison, pg 36

July 1982 v.33 n.7

Cover: The disassembled cavity and tuning mechanism in front of the 8684B Signal Generator

Optical System Design for the Laser Printing System. Here are the details of the optical system of the 2680 Laser Printing System described in these pages last month, by John R. Lewis, Laurence M. Hubby, J., pg 3-10. 2680A.

Laser Printer Optics Control and Diagnostic Circuit. This system drives the laser-beam modulator and checks the optics module, by Gary L. Holland, pg 5

A Synchronous Mirror-Motor Drive for the Laser Printer. The scanning mirror sweeps the laser beam across the page and this circuit keeps it turning at constant speed, by Gary L. Holland, pg 8-9

[Author:] Gary L. Holland, pg 9

[Authors:] Laurence [Larry] M. Hubby, Jr., John R. Lewis, pg 10

Laser Printer Machine Control System. One of two electronic subsystems within the 2680A Laser Page Printer, the MCS monitors and controls the printing process. Its companion subsystem, the data control system or DCS, was described last month, by James D. Crumly, Von L. Hansen, pg 11-15. 2680A.

Sensing Paper Jams. If the paper drive motor is going too fast or too slowly, the paper may have jammed or torn, by Gary L. Holland, pg 13. 2680A.

[Authors:] Von L. Hansen, James [Jim] D. Crumly, pg 15

Solid-State Microwave Signal Generators for Today’s Exacting Requirements. These manually tuned instruments match the extraordinary spectral purity of widely used HP klystron generators and beat them in modulation capability and accuracy, by Donald R. Chambers, Steven N. Sanders, pg 16-20. 8683A, 8684B.

Automatic Testing of Manually Tuned Signal Generators, by James Thalmann, pg 17

[Authors:] Donald [Don] R. Chambers, Steven [Steve] N. Sanders, pg 19

High-Performance Wideband Cavity-Tuned Solid-State Oscillators. These novel designs use a pair of oscillator circuits coupled into a single high-accuracy tunable cavity, by Ronald F. Stiglich, Edward G. Cristal, Phillip G. Foster, Arthur N. Woo, pg 20-25. 8683A/B, 8684A/B.

dc-Coupled FM for Microwave Signal Generator, by James Thalmann, pg 24

[Authors:] Ronald [Ron] F. Stiglich, Phillip [Phil] G. Foster, Arthur N. Woo, Edward [Bud] G. Cristal, pg 25

A Wide-Dynamic-Range Pulse Leveling Scheme. This design provides leveled output power over a range of 145 dB for both CW signals and pulses as narrow as 100 nonseconds, by James F. Catlin, pg 26-32. 8683A/B, 8684A/B.

An Accurate RF Power Reference Oscillator, by James Catlin, pg 28-29

Microwave Solid-State Amplifiers and Modulators for Broadband Signal Generators. Bsic hybrid microcircuit designs are customized for each of four signal generator models, by Kim Potter Kihlstrom, pg 30-32. 8683A/B, 8684A/B.

[Authors:] Kim Potter Kihlstrom, James [Jim] F. Catlin, pg 32

August 1982 v.33 n.8

Cover: A solarized version of a figure [on page 8] of the edge profile of trilayer process using spin-on glass

Viewpoints: IC Process Technology: VLSI and Beyond. Te demand for ever-smaller device dimensions requires continual advances in IC fabrication techniques and this is where we stand today, by John L. Moll, Frederic N. Schwettmann, pg 3-4

[Authors:] Frederic [Fred] N. Schwettmann, John L. Moll, pg 4

Optical IC Lithography Using Trilayer Resist. Acomposite photoresist layer reduces exposure effects that degrade pattern definition and reduce resolution in optical IC lithography, by E. David Liu, Gary W. Ray, Michael M. O’Toole, pg 5-9. IC Fabrication.

[Authors:] Gary W. Ray, E. David Liu, Michael [Mike] M. O’Toole, pg 9

Silicon Integrated Circuits Using Beam-Recrystallized Polysilicon. Melting a polysilicon layer by using an intense laser or electron beam can significantly improve the properties of the layer for semiconductor device fabrication. Novel vertical device structures can also be formed with this technique, by Theodore I. Kamins, pg 10-13. IC fabrication.

[Author:] Theodore [Ted] I. Kamins, pg 13

X-Ray Lithography. The shorter wavelengths of soft X-ray radiation make the definition of even smaller dimensions for VLSI circuits possible, by Garrett A. Garrettson, Armand P. Neukermans, pg 14-18

[Authors:] Armand P. Neukermans, Garrett A. Garrettson, pg 17

Dry Etching: An Overview. Plasma etching technology has several advantages for IC processing compared to wet-chemical etching methods. Anisotropic etching and automatic endpoint detection are two of the advantages, by Paul J. Marcoux, pg 19-23. IC Fabrication.

An Automated Plasma Reactor, by Susan Okada, pg 22

[Author:] Paul J. Marcoux, pg 23

Thin Films Formed by Plasma-Enhanced Chemical Vapor Deposition. Electrically exciting the gases used in a chemical vapor deposition process can reduce the sensitivity to temperature variations and allow deposition at lower temperatures. Some films produced by this technique are discussed, by Dragan B. Ilic, pg 24-27. IC fabrication.

Determining Thin-Film Stress, pg 25

Thin Gate Dielectric Films for VLSI MOS ICs: Thermal Silicon Oxynitride, by Tom Ekstedt, Hugh Grinolds, pg 26

[Author:] Dragan B. Ilic, pg 27

Electromigration: An Overview. The lifetime of the very thin and narrow conductors used in VLSI circuits is largely determined by the operating current density and metallic composition is discussed, by Paul P. Merchant, pg 28-31

[Author:] Paul P. Merchant, pg 30

SWAMI: A Zero-Encroachment Local Oxidation Process. Lateral oxidation limits density in oxide-isolated VLSI circuits. This process removes this limit by using a novel sequence of conventional processing techniques, by Kuang Yi Chiu, pg 31-32

[Author:] Kuang Yi Chiu, pg 32

Trench Isolation Technology, by Shang-yi Chiang, pg 33

High-Pressure Oxidation. Oxidation of silicon at atmosphere pressure requires considerable time and high temperatures that can be detrimental to the results of previous process steps. Increasing the oxidant gas pressure allows reduction of time and/or temperature for a desired oxide thickness, by William A. Brown, pg 34-36. IC fabrication.

[Author:] William [Bill] A. Brown, pg 36

September 1982 v.33 n.9

Cover: 1980B Oscilloscope talking to an HP 9826A Computer in a lab bench test

Oscilloscope Measurement System is Programmable and Autoranging. This new concept in oscilloscopes is a significant aid to measurement productivity, by William B. Risley, pg 3-4. 1980A/B.

[Author:] William [Bill] Risley, pg 4.

Designing the Oscilloscope Measurement System. The microprocessor brings the new dimension of programmability to the oscilloscope, by William E. Watry, Monte R. Campbell, Russell J. Harding, John R. Wilson, Wilhelm Taylor, pg 5-13. 1980A/B.

Custom Microcircuits Make the 1980A/B Possible, by William Duffy, John Meredith, Mike McTigue, pg 7

Allowing for system Expansion, by William Watry, pg 9

[Authors:] Wilhelm [Will] Taylor, John R. Wilson, William [Bill] E. Watry, Russell [Russ] J. Harding, Monte R. Campbell, pg 13

The Early History of the 1980A/B Oscilloscope Measurement System, by Zvonko Fazarinc, pg 14

The Design and Development of the 1980A/B at Colorado Springs, by William B. Risley, pg 14

Digital Waveform Storage for the Oscilloscope Measurement System. With this option, the oscilloscope measurement system can digitize, store, and transmit waveform data and receive waveform data from a computer to display, by Robert M. Landgraf, Eddie A. Evel, pg 15-20. 1980A/B.

[Authors:] Robert [Bob] M. Landgraf, Eddie [Ed] A. Evel, pg 20

Putting the Measurement System on the Bus. The oscilloscope is a latecomer to the world of interface-bus-compatible instruments, by Michael J. Karin, pg 21-24. 1980A/B.

[Author:] Michael [Mike] J. Karin, pg 24

Mechanical Design of the Oscilloscope Measurement System. Except for one printed circuit board, the same parts go into the two possible configurations, by John W. Campbell, pg 24-26. 1980A/B.

[Author:] John W. Campbell, pg 26

A High-Performance Bipolar Integrated Circuit Process. Ion-implanted collector, base, and emitter regions in an oxide-isolated structure result in compact high-performance bipolar transistors with reduced power consumption for use in high-density integrated circuits, by Irene V. Pecenco, Albert S. Wang, pg 27-29. IC fabrication.

[Authors:] Albert [Al] S. Wang, Irene V. Pecenco, pg 29

Synthesizer Accuracy for Unsynthesized Microwave Sources. This source synchronizer stabilizes microwave sources to provide accurate continuous-wave or swept-frequency outputs. It also provides a high-performance microwave counter, by V. Alan Barber, pg 30-36. 5344S.

[Author:] Vernon Alan [Al] Barber, pg 36

October 1982 v.33 n.10

Cover: 4145A Semiconductor Parameter Analyzer

Intelligent Instrument Streamlines dc Semiconductor Parameter Measurements. Used as a stand-alone instrument or as part of an automated test system, this smart curve tracer makes it easy to measure, analyze, graphically display, and store dc semiconductor parameters, by Fumiro Tsuruda, Kohichi Maeda, Teruo Takeda, Jin-ichi Ikemoto, pg 3-15. 4145A.

Typical Application of the 4145A Semiconductor Parameter Analyzer, pg 6-7

Extending the 4145A’s Output Range for Power Transistor Measurements, by Michitaka Obara, pg 10-11

[Authors:] Jin-ichi Ikemoto, Fumiro Tsuruda, pg 14

[Authors:] Kohichi Maeda, Teruo Takeda, pg 15

Programmable Stimulus/Measurement Units Simplify Device Test Setups. Each SMU can be electronically set to supply a specified voltage or current and to measure the associated current or voltage, by Susumu Takagi, Hiroshi Sakayori, Teruo Takeda, pg 15-20. 4145A.

[Authors:] Hiroshi Sakayori, Susumu Takagi, pg 20

HQMOS: A High-Performance NMOS Technology. Innovative processing methods are used to fabricate a scaled-down version of a standard n-channel MOS process, resulting in lower power consumption and higher speed, by Roger To-Hoi Szeto, Devereaux C. Chen, Horng-Sen Fu, Anders T. Dejenfelt, pg 21-27

[Authors:] Roger To-Hoi Szeto, Devereaux [Dev] C. Chen, Anders T. Dejenfelt, Horng-Sen Fu, pg 27

MOS Device and Process Design Using Computer Simulations. By using carefully developed computer models, IC device performance can be accurately simulated and the effects of process changes predicted, saving time and expense in new product design and development, by Soo-Young Oh, pg 28-32

[Author:] Soo-Young Oh, pg 32

November 1982 v.33 n.11

Cover: 5180A Waveform Recorder and the digitizer hybrid circuit

Waveform Recording with a High-Dynamic-Performance Instrument. This new waveform recorder digitizes and stores single-shot or repetitive signals. Its ten-bit, 20 MHz analog-to-digital converter delivers exceptional performance that is fully specified and characterized under realistic operating conditions, by Mark S. Allen, James L. Sorden, pg 3-9. 5180A.

Waveform Recording with the 5180A, pg 6-7

[Authors:] James [Jim] L. Sorden, Mark S. Allen, pg 9

Designing a Ten-Bit, Twenty-Megasample-per-second Analog-to-Digital Converter System. Custom hybrid and integrated circuits accurately sample and digitize a signal in forty nanoseconds, by Robert C. Rehner, Jr., Arthur S. Muto, Bruce E. Peetz, pg 9-20. 5180A.

Custom IC Processes, pg 15

A 40-MHz Input Amplifier, by Pat Deane, pg 18-19

[Authors:] Arthur [Art] S. Muto, Robert [Bob] C. Rehner, Jr., Bruce E. Peetz, pg 20

Measuring Waveform Recorder Performance. Realistic dynamic tests are the key to user confidence in the quality of the recorded waveshape, by J. Martin Neil, Bruce E. Peetz, Arthur S. Muto, pg 21-29. 5180A.

See Also: Correction: Figure 13 on page 26 in the article “Measuring Waveform Recorder Performance” has some incorrect labels, page 15 in the December 1982 issue

[Author:] J. Martin [Marty] Neil, pg 29

Time Base Requirements for a Waveform Recorder. Time base instability causes the time between samples to vary. Amplitude errors are the result, by Steven C. Bird, Jack A. Folchi, pg 29-34. 5180A.

[Authors:] Steven [Steve] C. Bird, Jack A. Folchi, pg 34

Display and Mass Storage for Waveform Recording. This waveform recorder companion provides functions needed in many applications, by Michael C. Detro, Christina M. Szeto, pg 34-36. 5181A.

[Authors:] Michael [Mike] C. Detro, Christina [Chris] M. Szeto, pg 36

December 1982 v.33 n.12

Cover: HP-86 Personal computer and the 7470A Plotter

Extended Memory and Modularity Are Added to the Series 80 Computer Family. HP’s newest Series 80 computers, the HP-86 and HP-87XM, provide memory capacities up to 640K bytes, different combinations of built-in interfaces, and for the HP-86, a modular system configuration, by Andrew W. Davidson, William R. Frolik, John T. Eaton, pg 3-7

[Authors:] John T. Eaton, William [Bill] R. Frolik, Andrew [Andy] W. Davidson, pg 7

Module Brings CP/M to HP’s Latest Series 80 Computers. This small computer system plugs into the HP-86 and HP-87XM Computers to allow them to use the wide variety of CP/M-compatible software available to the personal computer user, by Timothy V. Harper, pg 8-11. 82900A.

[Author:] Timothy [Tim] V. Harper, pg 11

Development of a Low-Cost, High-Quality Graphics Plotter. A novel plotting technology and a design for low manufacturing cost have resulted in an inexpensive X-Y plotter capable of fast, high-resolution, graphics output, by Majid Azmoon, pg 12-15. 7470A.

Manufacturing Team in the R&D Lab, by Bob Porcelli, pg 13

[Author:] Majid [Maj] Azmoon, pg 15

Correction: Figure 13 on page 26 in the article “Measuring Waveform Recorder Performance”, page 21 in the November 1982 issue, has some incorrect labels, pg 15

Controlling a Graphics Plotter with a Handheld Programmable Calculator. The plotter is the 7470A and the calculator is the HP-41C, by Robert M. Miller, Randy A. Coverstone, pg 16-18

[Authors:] Robert [Bob] M. Miller, Randy A. Coverstone, pg 18

Index: Volume 33 January 1982 through December 1982. PART 1: Chronological Index, pg 19-20. PART 2: Subject Index, pg 20-21. PART 3: Model Number Index, pg 22. PART 4: Author Index, pg 22.

Low-Cost Plotter Electronics Design. Custom servo ICs and gate array logic circuits allow a single-board design, by David M. Ellement, Neal J. Martini, Peter L. Ma, pg 23-26. 7470A.

Custom IC Electronics for a Low-Cost Plotter, by Peter Ma, pg 25

[Authors:] Peter L. Ma, David M. Ellement, pg 25

Plotter Drive Motor Encoder Design. This compact optical encoder is installed inside the drive motor housing, by Arthur K. Wilson, Daniel E. Johnson, pg 26-27. 7470A.

[Authors:] Arthur [Art] K. Wilson, Daniel [Dan] E. Johnson, pg 27

Graphics Plotter Mechanical Design for Performance and Reliability at Low Cost. A grit-wheel paper drive, low-mass pen carriage, and electronic limit sensing provide an elegant, simple and accurate plotting mechanism, by David C. Tribolet, Chuong C. Ta, Robert J. Porcelli, Richard M. Kemplin, David M. Petersen, pg 28-33. 7470A.

[Author:] David M. Petersen, pg 32

[Authors:] Robert [Bob] J. Porcelli, David [Dave] C. Tribolet, Chuong C. Ta, Richard [Dick] M. Kemplin, pg 33

An Improved ac Power Switch. Turning ac power on and off isn’t always as simple as it seems. Here’s an ac power controller that is safe, reliable, long-lived, digitally controlled, and interference-free, by Raymond A. Robertson, pg 34-40. 14570A.

Testing the 14570A, pg 38-39

[Author:] Raymond [Ray] A. Robertson, pg 40

1981 – HP Journal Index

January 1981 v.32 n.1

Cover: Model 82153A digital bar-code wand for use with the HP-41C Calculator

Handheld Scanner Makes Reading Bar Codes Easy and Inexpensive. This lightweight wand contains the light source, reflected-light sensor and digital signal shaping circuitry needed for scanning bar-code patterns reliably, by Edward G. Weaver, Jr., Donald L. Lubin, John J. Uebbing, pg 3-10. HEDS-3000, HEDS-1000, 82153A.

What is a Bar Code? pg 7-8

[Authors:] Edward [Eddie] G. Weaver, Jr., Donald [Don] L. Lubin,  John J. Uebbing, pg 9

Reading Bar Codes for the HP-41C Programmable Calculator. A new accessory for HP’s most powerful handheld calculator quickly enters data and programs from printed bar code, by David R. Conklin, Thomas L. Revere III, pg 11-14. 82153A.

[Authors:] Thomas [Tom] L. Revere III, David [Dave] R. Conklin, pg 14

A High Quality Low-Cost Graphics Tablet. It enables the user to interact easily with a computer graphics system to generate illustrations using predefined and user-defined shapes, point-to-point plotting, and continuous line drawing or tracing, by Donald J. Stavely, pg 15-24. 9111A.

Capacitive Stylus Design. The stylus for the 9111A Graphics Tablet is slim, rugged and provides tactile feedback, by Susan M. Cardwell, pg 17-18

[Author:] Susan M. Cardwell, pg 17

Programming the Graphics Tablet. Software packages for several HP computers use the tablet’s built-in capabilities to create diagrams, figures and charts, by Debra S. Bartlett, pg 20-21

[Author:] Debra [Debbie] S. Bartlett, pg 21

Tablet/Display Combination Supports Interactive Graphics. A graphics tablet combined with vector-scan display system provides a powerful, inexpensive graphics workstation, by David A. Kinsell, pg 22-23

[Author:] David [Dave] A. Kinsell, pg 23

[Author:] Donald [Don] J. Stavely, pg 24

Programming for Productivity: Factory Data Collection Software. DATACAP/1000 is a software tool for designing and managing data collection networks. Running on an HP 1000 Computer System, it is flexible, easy to use, and compatible with user-written routines, by Steven H. Richard, pg 25-31. HP1000, 92080A.

A Terminal Management Tool. It provides a reentrant environment for HP 1000 Computers, simplifying the development of multiterminal applications, by Francois Gaullier, pg 30-31

[Authors:] Francois Gaullier, Steven [Steve] H. Richard, pg 31

February 1981 v.32 n.2

Cover: Model 8662A Synthesized Signal Generator

A High-Purity, Fast-Switching Synthesized Signal Generator. When the lowest possible noise is a critical requirement for a programmable frequency source, this generator can do the job. Rapid switching and high output-level accuracy are two of its other advantages, by Roland Hassun , pg 3-7. 8662A.

Spectrail Purity, by Roland Hassun, pg 4

[Author:] Roland [Rolly] Hassun, pg 7

Digital Control for a High-Performance Programmable Signal Generator. Front-panel, internal and remote control of a complex instrument calls for a microprocessor-based controller, by Hamilton C. Chisholm, pg 8-11. 8662A.

8662A Power-On and Self-Test Sequences. The ROM and RAM tests have some clever twists, by Albert W. Kovalick, pg 9-10

[Author:] Albert [Al] W. Kovalick, pg 10

[Author:] Hamilton [Ham] C. Chisholm, pg 11

Low-Noise RF Signal Generator Design. Seven phase-locked loops and some innovative techniques did the job, by Dieter Scherer, Donald W. Mathiesen, Fred H. Ives, Bill S. Chan, William J. Crilly, Jr., pg 12-22. 8662A.

A Switching Power Supply for a Low-Noise Signal Generator. An unusual  choice, because of switching noise, but the benefits outweighed the problems, by Gerald L. Ainsworth, pg 20. 8662A.

[Author:] Gerald [Jerry] L. Ainsworth, pg 20

[Authors:] Fred H. Ives, Dieter Scherer, Donald [Don] W. Mathiesen, pg 21

[Authors:] William [Skip] J. Crilly, Jr., Bill S. Chan, pg 22

A High-Purity Signal Generator Output Section. This section supplies a low-noise output with unprecedented level accuracy, by Donald T. Borowski, David L. Platt, pg 22-27

[Authors:] Donald [Don] T. Borowski, David [Dave] L. Platt, pg 26

Product Design for Precision and Purity. Shielding and reliability are major considerations, by Robert L. DeVries, pg 28-30. 8662A.

[Author:] Robert [Bob] L. DeVries, pg 30

Verifying High Spectral Purity and Level Accuracy in Production. The question is how to test a state-of-the-art product without losing production-line efficiency, by John W. Richardson, pg 30-32. 8662A.

[Author:] John W. Richardson, pg 32

March 1981 v.32 n.3

Cover: A piece of cultured (laboratory-grown) quartz

New Display Station Offers Multiple Screen Windows and Dual Data Communications Ports. This versatile computer terminal can act like four virtual terminals. It’s designed for data entry and program development, by Gary C. Staas, pg 3-8. 2626A.

[Author:] Gary C. Staas, pg 7

Display Station’s User Interface is Designed for Increased Productivity. Easy access to an extensive feature set requires a thorough, thoughtful approach to the user interface, by Gordon C. Graham, pg 8-12. 2626A.

[Author:] Gordon C. Graham, pg 11

Hardware and Firmware Support for Four Virtual Terminals in One Display Station. The goals were 2645A compatibility, improved price/performance and reliability and ease of use, manufacturing and service, by John D. Wiese, Srinivas Sukumar, pg 13-15. 2626A, 2645A.

[Authors:] Srinivas Sukumar, John D. Wiese, pg 15

A Silicon-on-Sapphire Integrated Video Controller. Integration was considered mandatory to make the display system practical and reliable, by Jean-Claude Roy, 16-19. 2626A.

[Author:] Jean-Claude [Jean] Roy, pg 19

SC-Cut Quartz Oscillator Offers Improved Performance. This compact oscillator is designed to serve as a built-in precision frequency source. New technology and packaging provide lower power consumption, faster warmup, better stability and lower phase noise, by Robert L. Wilson, J. Robert Burgoon, pg 20-29. 10811A/B.

The SC Cut, a Brief Summary. First introduced in 1974, the stress compensated cut has many virtues, by Charles A. Adams, John A. Kusters, pg 22-23

[Authors:] Charles A. Adams, John [Jack] A. Kusters, pg 23

Flexible Circuit Packaging of a Crystal Oscillator. Selectively stiffened flexible circuitry is a radical approach that meets tough objectives, by James H. Steinmetz, pg 26-28. 10811A/B.

[Author:] James [Jim] H. Steinmetz, pg 28

[Authors:] J. Robert [Bob] Burgoon, Robert [Bob] L. Wilson, pg 29

New Temperature Probe Locates Circuit Hot Spots. Use it with any general-purpose digital multimeter and some HP oscilloscopes to get readings directly in degrees Celsius, by Marvin F. Estes, Donald Zimmer, Jr., pg 30-32. 10023A.

[Authors:] Marvin F. Estes, Donald [Don] Zimmer, Jr., pg 32

April 1981 v.32. n.4

Cover: Materials Management/3000

An Interactive Material Planning and Control System for Manufacturing Companies. Drawing on HP’s own experience, this powerful software for the HP 3000 Computer makes it easier to deal with the complexities of operating a manufacturing company, by Robert M. Steiner, Nancy C. Federman, pg 3-12. Material Management/3000, 32260A.

[Authors:] Nancy C. Federman, Robert [Bob] M. Steiner, pg 12

A Novel Approach to Computer Application System Design and Implementation. The Application Customizer helps designers construct generalized application systems and gives users tools to tailor these systems to their own research, by Loretta E. Winston, pg 13-18. Application Customizer, Application Monitor.

[Author:] Loretta E. Winston, pg 18

Automating Application System Operation and Control. The Application Monitor schedules, initiates and controls all interactive and background activities in an application system, by Barry D. Kurtz, pg 19-22

[Author:] Barry D. Kurtz, pg 22

Precision DVM Has Wide Dynamic Range and High Systems Speed. This digital voltmeter makes precision laboratory measurements with 100-nanovolt dc resolution and two-ppm linearity. Variable integration time allows four-digit measurements at 300 readings per second, by Charles A. Clark, James J. Ressmeyer, Lawrence T. Jones, pg 23-32. 3456A.

Voltmeter Stores Measurement Instructions and Data, pg 30. 3456A.

[Authors:] Charles [Chuck] A. Clark, James [Jim] J. Ressmeyer, Lawrence [Larry] T. Jones, pg 31

May 1981 v.32 n.5

Cover: The exposure end of HP’s Electron Beam Lithography System

A Precision High-Speed Electron Beam Lithography System. This very fast electron beam system is designed for mask making or direct writing on wafers in an integrated circuit production environment, by Ronald K. Scudder, John C. Eidson, Wayne C. Haase, pg 3-13

Electron Beam Lithography, by Frank Ura, pg 5

Proximity Effect Correction by Processing, pg 6

[Authors:] Ronald [Ron] K. Scudder, Wayne C. Haase, John C. Eidson, pg 12

SAWR Device Fabrication, pg 13

A Precision, High-Current, High-Speed Electron Beam Lithography Column. The column’s field emission electron gun contributes to the system’s high speed, by Heui Pei Kuo, John Kelly, Timothy R. Groves, pg 14-20

A Precision X-Y Stage and Substrate Handling System for Electron Beam Lithography. This systems positions wafers and masks within 16 nanometres of the desired position, by Charles L. Merja, Earl E. Lindberg, pg 16-18

[Authors:] Earl E. Lindberg, Charles [Chuck] L. Merja, pg 18

[Authors:] Huei P. Kuo, Timothy [Tim] R. Groves, John Kelly, pg 20

Software Control for the HP Electron Beam Lithography System. A large, complex software package makes the system’s capabilities readily available to the user, by Bruce Hamilton, pg 21-23

[Author:] Bruce Hamilton, pg 23

Pattern Data Flow in the HP Electron Beam System. The pattern data turns the electron beam on and off at rates as high as 300 MHz, by Howard F. Lee, Michael J. Cannon, Robert B. Lewis, pg 24-27

[Authors:] Robert [Bob] B. Lewis, Howard F. Lee, Michael [Mike] J. Cannon, pg 26

Calibration of the HP Electron Beam System. Precision is achieved by measuring distortions and correcting them with software and electronics, by Geraint Owen, Faith L. Bugely, Ian F. Osborne, Robert B. Schudy, pg 27-33

Software for Octopole Calibration, pg 29

[Authors:] Robert [Bob] B. Schudy, Ian F. Osborne, Faith L. Bugely, Geraint Owen, pg 33

Digital Adaptive Matched Filter for Fiducial Mark Registration. Detecting registration marks on substrates is a problem of extracting a known signal from noise, by Tsen-gong Jim Hsu, pg 34-36

[Author:] Tsen-gong Jim Hsu, pg 36

June 1981 v.32 n.6

Cover: VLSI Design and Artwork Verification

Viewpoints: Marco Negrete on Structured VLSI Design, pg 3-4

[Author:] Marco R. Negrete, pg 4

VLSI Design Strategies and Tools. A survey of present approaches and possible future directions at Hewlett Packard, by Daniel J. Griffin, William J. Haydamack, pg 5-12

Advanced Symbolic Artwork Preparation (ASAP). ASAP is the top end of HP’s bipolar design methods, by P. Kent Hardage, Kyle M. Black, pg 8-10

[Authors:] P. Kent Hardage, Kyle M. Black, pg 10

VLSI Makes 32-Bit CPU Chip Possible, pg 11

[Authors:] William [Bill] J. Haydamack, Daniel [Dan] J. Griffin, pg 12

Design and Simulation of VLSI Circuits. Logic simulators, circuit simulators, and schematic editors aid the designer, by Richard I. Dowell, Ravi M. Apte, Louis K. Scheffer, pg 12-18

Transistor Electrical Characterization and Analysis Program (TECAP). More accurate models are needed as simulation becomes more important, by Ebrahim Khalily, pg 16-17

[Authors:] Ebrahim Khalily, Louis [Lou] K. Scheffer, pg 17

[Authors:] Richard [Dick] I. Dowell, Ravi M. Apte, pg 18

An Interactive Graphics System for Structured Design of Integrated Circuits. Multilevel symbolic representation and incremental design rule checking facilitate the creation of physical IC layouts, by William J. McCalla, Diane F. Bracken, pg 18-25. IGS.

IC Layout on a Desktop Computer. This small but powerful system has many of the capabilities of IGS and is compatible with it, by Thomas H. Baker, pg 20-21

[Author:] Thomas [Tom] H. Baker, pg 21

[Authors:] Diane F. Bracken, William [Bill] J. McCalla, pg 25

VLSI Design and Artwork Verification. Geometric and circuit level checking verify proper operation, by Michael G. Tucker, William J. Haydamack, pg 25-29.

See Also: Correction: Replacement for the figure on page 26 in the article “VLSI Design and Artwork Verification”, page 32 in the July 1981 issue

[Author:] Michael [Mike] G. Tucker, pg 28

University and Industrial Cooperation for VLSI. The benefits flow in both directions, by Merrill W. Brooksby, Patricia L. Castro, pg 29-33

A Process Control Network. Many small computers smooth the flow of wafers and help make processes transportable, by Christopher R. Clare, pg 30-31

[Author:] Christopher [Chris] R. Clare, pg 31

[Authors:] Merrill W. Brooksby, Patricia [Pat] L. Castro, pg 32

Benefits of Quick-Turnaround Integrated Circuit Processing. Going quickly from designs to chips aids the design process and improves yields, by Patricia L. Castro, Merrill W. Brooksby, Fred L. Hanson, pg 33-35

[Author:] Fred L. Hanson, pg 35

Viewpoints: David Packard on University and Industry Cooperation, pg 36

[Author:] David [Dave] Packard, pg 36

July 1981 v.32 n.7

Cover: Model 3054A Automatic Data Acquisition and Control System

Instrument System Provides Precision Measurement and Control Capabilities. Measurement and control instruments are integrated in a system package designed for easy use in data acquisition and control situations. This system is supported by software for common monitoring and actuating applications, by Virgil L. Laing, pg 3-8. 3054A.

Thermocouple conversion and Transducer Curve Fitting, pg 4

Why Compensate Thermocouples? Pg 5

Precision Data Acquisition Teams up with Computer Power. This data acquisition/control system includes HP’s most powerful technical computer, by Lawrence E. Heyl, pg 6. 3054C.

[Author:] Lawrence [Larry] E. Heyl, pg 6

Data Logging is Easy with an HP-85/3054A Combination. Here’s a compact data recording and display system with easy-to-use software, by David L. Wolpert, pg 7-8

[Authors:] David [Dave] L. Wolpert, Virgil L. Laing, pg 8

Versatile Instrument Makes High-Performance Transducer-Based Measurements. This instrument serves as the eyes, ears, and hands for a computer-controlled system that acquires data from transducers and controls equipment and processes, by Thomas J. Heger, James S. Epstein, pg 9-15. 3497A.

Internal Control of the 3497A Data Acquisition/Control Unit, pg 13

[Authors:] James [Jim] S. Epstein, Thomas [Tom] J. Heger, p15

Plug-in Assemblies for a Variety of Data Acquisition/Control Applications. There are units for multiplexing, counting, digital and analog inputs and outputs, and thermocouple measurements, among others, by Thomas J. Heger, Patricia A. Redding, Richard L. Hester, pg 16-22. 9915A.

[Authors:] Patricia [Pat] A. Redding, Richard [Rick] L. Hester, pg 22

Desktop Computer Redesigned for Instrument Automation. Combining the system development ease of a desktop computer with the configuration flexibility of a board computer provides the instrumentation system designer with a new alternative for automation, by Vincent C. Jones, pg 23-32. 9915A.

A Unifying Approach to Designing for Reliability. Strife testing can help the designer realize a more reliable product, by Kenneth F. Watts, pg 24-25

[Author:] Kenneth [Ken] F. Watts, pg 25

Designing Testability and Serviceability into the 9915A. A computer that tests itself makes it easier to diagnose and fix system problems, by David J. Sweetser, pg 27-28

[Author:] David [Dave] J. Sweetser, pg 27

Operator Interface Design. You don’t get a keyboard or CRT display with a modular computer, but you can add them if you want to, by Robert A. Gilbert, pg 29-30. 9915A.

[Author:] Robert [Bob] A. Gilbert, pg 30

Cost-Effective Industrial Packaging. A rugged low-cost package is essential for a modular computer, by Eric L. Clarke, pg 31

[Author:] Eric L. Clarke, pg 31

[Author:] Vincent [Vince] C. Jones, pg 32

Correction: Replacement for the figure on page 26 in the article “VLSI Design and Artwork Verification”, page 25 in the June 1981 issue, pg 32

August 1981 v.32 n.8

Cover: HP power MOSFET fits in the schematic diagram of a 65000A Power Supply

200-kHz Power FET Technology in New Modular Power Supplies. These small, reliable 50-watt supplies are designed for OEM (original equipment manufacturer) use anywhere in the world, by Richard Myers, Robert D. Peck, pg 3-9. 65000A.

Magnetic Components for High-Frequency Switching Power Supplies. The goals were small size, 200-kHz operation, safety, and semiautomated manufacturing, by Winfried Seipel, pg 8-9

[Authors:] Richard [Rich] Myers, Winfried [Win] Seipel, Robert [Bob] D. Peck, pg 9

Laboratory-Performance Autoranging Power Supplies using Power MOSFET Technology. State-of-the-art components and circuit design enable this new generation of laboratory and system supplies to set new standards for performance and flexibility, by John W. Hyde, Dennis W. Gyma, Paul W. Bailey, Daniel R. Schwartz, pg 11-17. 6024A, 6012A.

[Authors:] Paul W. Bailey, John W. Hyde, Dennis W. Gyma, Daniel [Dan] R. Schwartz, pg 16

The Vertical Power MOSFET for High-Speed Power Control. A vertical semiconductor device structure provides a power MOSFET that can switch high currents and voltages very rapidly which makes it useful for power supplies, pulse drivers, and switching amplifiers, by Victor Li, Dah Wen Tsang, Robert L. Myers, Karl H. Tiefert, pg 18-24. 6501.

Power MOSFET Performance Useful for Many Applications, pg 19

[Authors:] Karl H. Tiefert, Robert [Bob] L. Myers, Dan Wen Tsang, Victor Li, pg 23

MOSFET Fabrication Requires Special Care, pg 24

Power Line Disturbances and Their Effect on Computer Design and Performance. Noise induced on the ac power line by machinery, lightning, and even appliances can be deterimental to computer performance. By becoming familiar with the nature of the noise and its causes, the designer and user can take steps to minimize the effect on computers, by Arthur W. Duell, W. Vincent Roland, pg 25-32

Definitions: ac Power Anomalies, pg 27

[Authors:] W. Vincent [Vince] Roland, Arthur [Art] W. Duell, pg 32

September 1981 v.32 n.9

Cover: HP Model 47210A Capnometer

A Reliable, Accurate CO2 Analyzer for Medical Use. Measuring the amount of carbon dioxide in a patient’s breath is an important medical diagnostic tool. This instrument makes the measurement quickly and easily without cumbersome calibration requirements, by Rodney J. Solomon, pg 3-21. 47201A.

Infrared Absorption, pg 4

A Miniature Motor for the CO2 Sensor (with Thanks to Kettering). The rotor contains optical elements, is the size of a coin, and rotates at 2400 r/min, by Edwin B. Merrick, pg 8-9

[Author:] Edwin [Ed] B. Merrick, pg 8

Fabrication of Sensor Requires Special Care, pg 10-11

An End-Tidal/Respiration-Rate Algorithm. An infrared absorption signal is processed digitally to yield CO2 level and rate of breathing, by John J. Krieger, pg 12-13

[Author:] John J. Krieger, pg 13

In-service CO2 Sensor Calibration. Quick and easy calibration is essential for a medical instrument, by Russell A. Parker, Rodney J. Solomon, pg 16-18. 47210A.

[Author:] Russell [Russ] A. Parker, pg 18

Making Accurate CO2 Measurements. This system produces accurate gas mixtures for CO2 sensor calibration, by John J. Krieger, pg 19-20

[Author:] Rodney [Rod] J. Solomon, pg 20

A Versatile Low-Frequency Impedance Analyzer with an Integral Tracking Gain-Phase Meter. This instrument measures impedance parameters, gain, phase, and group delay of individual components, circuit sections, and complete circuits. The measurements are automatic, wideband, and made under variable frequency and/or dc bias voltage conditions, by Kanuyaki Yafi, Takeo Shimizu, Yoh Narimatsu, pg 22-28. 4192A.

[Authors:] Yoh Marimatsu, Kazuyuki Yagi, Takeo Shimizu, pg 28

A Fast, Programmable Pulse Generator Output Stage. A new pulse generator supplies fast-transition pulses for testing 100k ECL, advanced Schottky TTL and other fast logic families, by Peter Aue, pg 29-32. 8161A.

[Author:] Peter Aue, pg 32

October 1981 v.32 n.10

Cover: A portion of the recording mechanism of the 4700A Cardiograph

Development of a High-Performance, Low-Mass, Low-Inertia Plotting Technology. A new vector plotter technology makes possible small, inexpensive graphics products that provide high-quality plots quickly, by Charles E. Tyler, Lawrence LaBarre, Wayne D. Baron, Robert G. Younge, pg 3-7. 4700A, 7580A.

Digital Control Simplifies X-Y Plotter Electronics, by W. D. Baron, pg 5-6

[Author:] Charles [Chuck] E. Tyler, Lawrence [Larry] LaBarre, Robert [Rob] G. Younge, Wayne D. Baron, pg 7

Plotter Servo Electronics Contained on a Single IC. This integrated circuit chip greatly simplified the design of products using HP’s new plotting technology, by Clement C. Lo, pg 8-9

[Author:] Clement C. Lo, pg 9

An Incremental Optical Shaft Encoder Kit with Integrated Optoelectronics. This kit can be assembled easily by an OEM (original equipment manufacturer) to provide accurate digital information about shaft position and velocity in digitally controlled electromechanical systems, by John J. Uebbing, Mark G. Leonard, Howard C. Epstein, pg 10-15. HEDS-5000.

[Authors:] Mark G. Leonard, Howard C. Epstein, John J. Uebbing, pg 15

New Plotting Technology Leads to a New Kind of Electrocardiograph. A low-mass, low-inertia plotting mechanism provides high-quality ECG’s in a variety of convenient formats, by Peter H. Dorward, Martin K. Mason, Steven J. Koerper, Steven A. Scampini, pg 16-24. 4700A.

What Is an Electrocardiogram? pg 20

[Authors:] Martin [Marty] K. Mason, Steven J. Koerper, pg 23

[Authors:] Steven [Steve] A. Scampini, Peter H. Dorward, pg 24

November 1981 v.32 n.11

Cover: 7580A Drafting Plotter

Development of a Large Drafting Plotter. Developing a large X-Y plotter that provides drafting-quality drawings, requires minimal floor space and costs less than half comparable machines was not easy. This article outlines the history and performance features of HP’s largest X-Y plotter, by George W. Lynch, Marvin L. Patterson, pg 3-7. 7580.

[Authors:] George W. Lynch, Marvin L. Patterson, pg 7

Aspects of Microprocessor and I/O Design for a Drafting Plotter. The use of a powerful microprocessor allows the designer to provide desirable features without increasing the complexity of the hardware, by Neal J. Martini, Hatem E. Mostafa, Dale W. Schaper, Lowell J. Stewart, pg 7-11. 7850A.

[Authors:] Neal J. Martini, Lowell J. Stewart, Hatem E. Mostafa, Dale W. Schaper, pg 11

Motor Drive Mechanics and Control Electronics for a High-Performance Plotter. HP’s low-mass, low-inertia design greatly simplifies the mechanical drive and servo control electronics, by Myungsae Son, Terry L. Flower, pg 12-15. 7580A.

[Authors:] Terry L. Flower, Myungsae [Myron] Son, pg 15

Firmware Determines Plotter Personality. This firmware provides drafting-quality lettering, keeps track of pens and plotting parameters, and minimizes the need for operator adjustments, by Mark A. Overton, Larry W. Hennessee, Richard B. Smith, Andrea K. Frankel, pg 16-25. 7580A.

New Language Tools Aid Plotter Firmware Development, by Andrea K. Frankel, pg 24

[Authors:] Richard [Rick] B. Smith, Larry W. Hennessee, Mark A. Overton, Andrea K. Frankel, pg 25

Y-Axis Pen Handling System. An adaptive pen-lift mechanism, automatic pen selection and storage, and a sturdy drive system are key elements of this design, by David J. Perach, Samuel R. Haugh, Robert D. Haselby, pg 25-32. 7580A.

[Authors:] Samuel [Sam] R. Haugh, David [Dave] J. Perach, Robert [Bob] D. Haselby, pg 32

X-Axis Micro-Grip Drive and Platen Design. Moving paper accurately with grit-covered wheels requires careful attention to platen design and grit-wheel fabrication, by Ronald J. Kaplan, Robert S. Townsend, pg 33-36. 7580A.

[Authors:] Robert [Bob] S. Townsend, Ronald [Ron] J. Kaplan, pg 36

December 1981 v.32 n.12

Cover: a 280-megahertz SAW resonator

Surface-Acoustic-Wave Delay Lines and Transversal Filters. Novel, simple and compact electronic devices can be realized by exciting and detecting acoustic waves electrically on the surface of a solid. Technological advances in low-loss delay lines and bandpass filters are discussed, by William R. Shreve, Waguih S. Ishak, H. Edward Karrer, pg 3-8. SAW.

[Authors:] H. Edward [Ed] Karrer, William [Bill] R. Shreve, Waguih S. Ishak, pg 8

Surface-Acoustic-Wave Resonators. By reflecting acoustic waves back and forth on the surface of a crystal one can obtain resonant devices for frequencies in the UHF range, by Scott S. Elliott, Peter S. Cross, pg 9-17. SAW.

SAWR Fabrication. The process used to make surface acoustic-wave resonators is similar in many ways to the processes used to make integrated circuits, by Robert C. Bray, Yen C. Chu, pg 11-13

[Authors:] Yen C. Chu, Robert [Bob] C. Bray, pg 13

280-MHz Production SAWR. It’s the first SAW component designed for use in an HP instrument, by Marek E. Mierzwinski, Mark E. Terrien, pg 15-16

[Authors:] Mark E. Terrien, Marek E. Mierzwinski, pg 16

[Authors:] Peter S. Cross, Scott S. Elliott, pg 17

Physical Sensors using SAW Devices. Novel force and pressure transducers sense the effects of mechanical stress on surface wave velocity and resonant frequency, by J. Fleming Dias, pg 18-20

[Author:] J. Fleming Dias, pg 20

Proximity Effect Corrections by Means of Processing: Theory and Applications. HP’s electron beam lithography system has been used to evaluate methods of reducing the unwanted effects of electron scattering, by Paul Rissman, Michael P.C. Watts, pg 21-27

Monte Carlo Simulations for Electron Beam Exposures. A computer model of electron scattering aids research into this effect, by Armand P. Neukermans, Steven G. Eaton, pg 24-25

[Authors:] Steven G. Eaton, Armand P. Neukermans, pg 24

[Authors:] Paul Rissman, Michael P. C. Watts, pg 27

Index: Volume 32 January 1981 through December 1981. PART 1: Chronological Index, pg 28-29. PART 2: Subject Index, pg 29-31. PART 3: Model Number Index, pg 31. PART 4: Author Index, pg 31-32.

1980 – HP Journal Index

January 1980 v.31 n.1

Cover: Model 4191A RF Impedance Analyzer

Automated Testing of PCM Communications Equipment with a Single Self-Contained Instrument. Microprocessor control of multiple sources and detectors within this compact instrument achieves a new level of automation for voice-channel measurements in PCM multiplex equipment, by Mark Dykes, Andrew Batham, Virgil Marton, Robert Pearson, Mike Bryant, pg 3-15. 3779A/B.

PCM Transmission Systems, pg 4-5

Faster Results with Automatic Measurements, pg 7

End-to-End Measurements, pg 9

A Digital Attenuator with 1-dB Steps, pg 10

E & M Signaling Measurements, pg 11-12

[Authors:] Andrew [Andy] Batham, Mark Dykes, Mike Bryant, Robert [Bob] Pearson, Virgil Marton, pg 15

Software for an Automatic Primary Multiplex Analyzer. The built-in programs are at the heart of the Primary Multiplex Analyzer’s capabilities, by Mark Dykes, pg 16-21

Software Development, pg 19

Vector Impedance Analysis to 1000 MHz. This new impedance analyzer measures fourteen impedance parameters of two-terminal components. It’s fast, stable, accurate, and wide-range, by Toshio Ichino, Noriyuki Sugihara, Hideo Ohkawara, pg 22-32. 4191A.

Error Correction in the Impedance Analyzer, pg 25

February 1980 v.31 n.2

Cover: 8450A Spectrophotometer

Design and Performance of a Highly Integrated Parallel Access Spectrophotometer. This innovative ultraviolet/visible spectrophotometer solves many chemical analysis problems that were impossible for older photometric technology, by Barry G. Willis, pg 3-11. 8450A.

Analysis for Metals, by Gerald E. James, pg 5

Chemical Identification, by Gerald E. James, pg 6

Pharmaceutical Formulations Analysis, by Gerald E. James, pg 7

Liquid Chromatographic Determination of Steroids, by Barry G. Willis, pg 8

[Authors:] Barry G. Willis, Gerald [Jerry] E. James, pg 11

A Task-Oriented Approach to Spectrophotometry. The user specifies the measurement and the data reduction and display parameters and gets answers in the desired format, by Barry G. Willis, Arthur Schleifer, pg 11-17. 8450A.

[Author:] Arthur [Art] Schleifer, pg 16

An Optical System for Full-Spectrum Measurements. Unusual features are a folded configuration, elliptical mirrors, and holographic diffraction gratings, by Alfred Schwartz, George W. Hopkins, pg 17-20. 8450A.

Holographically-Recorded Diffraction Gratings, pg 19

[Authors:] Alfred [Fred] Schwartz, George W. Hopkins, pg 19

Light Detection and Measurement in a High-Performance Spectrophotometer. Proprietary detectors and a low-noise, high-resolution readout system measure light at 401 wavelengths in one second, by Robert W. Widmayer, Knud L. Kundsen, pg 20-24. 8450A.

[Authors:] Knud L. Knudsen, pg Robert [Bob] L. Widmayer, pg 24

Servo-Controlled Beam Director Provides Major Benefits. It solves many manufacturing problems and provides many user conveniences, by Lynn Weber, Mark P. Morganthaler, pg 24-28. 8450A.

[Authors:] Lynn Weber, Mark P. Morgenthaler, pg 28

A Microcomputer System for Spectrophotometric Data Processing. It turns large amounts of data into useful information and makes the 8450A a friendly machine, by Glenn C. Steiner, pg 29-31

[Author:] Glenn C. Steiner, pg 31

How the 8450A Was Developed. Here are the people and the technological milestones that produced this new spectrophotometer, by Richard E. Monnier, pg 31-32

March 1980 v.31 n.3

Cover: HP-41C

Powerful Personal Calculator System Sets New Standards. Customize this advanced new handheld calculator by plugging in extra memory, a magnetic card reader, a printer, and application modules. You can reconfigure the keyboard, too, by David R. Conklin, Bernard E. Musch, John J. Wong, pg 3-12. HP-41C.

Packaging the HP-41C. The liquid crystal display made it interesting for the package designers, by Gerald W. Steiger, pg 7

[Author:] Gerald [Jerry] W. Steiger, pg 7

[Authors:] Bernard [Bernie] E. Musch, John J. Wong, David [Dave] R. Conklin, pg 12

Card Reader Offers Compatibility and Expanded Capability. More powerful and useful than its predecessors, the HP-41C card reader can read cards written by earlier HP personal calculators, by Patrick V. Boyd, David J. Lowe, pg 12-15. 82104A.

[Authors:] David [Dave] J. Lowe, Patrick [Pat] V. Boyd, pg 14

Evolutionary Printer Provides Significantly Better Performance. As the power of a calculator increases, so must the abilities of its printer, by Donald L. Morris, Roger D. Quick, pg 15-19. HP-41C, 82143A.

[Authors:] Roger D. Quick, Donald [Don] L. Morris, pg 19

Bulk CMOS Technology for the HP-41C. This integrated circuit process has many characteristics that contribute to long battery life in a calculator, by Norman L. Johnson, Vijay V. Marathe, pg 20-22

[Authors:] Norman [Norm] L. Johnson, Vijay V. Marathe, pg 22

The First HP Liquid Crystal Display. LCD advantages include low-voltage and power requirements and good visibility in strong light, by Craig Maze, pg 22-24. HP-41C.

[Author:] Craig Maze, pg 24

High Density and Low Cost with Printed Circuit Hybrid Technology. Silicon integrated circuit chips are mounted directly onto printed circuit boards to save space and cost, by Robert N. Low, James H. Fleming, pg 25-26. HP-41C.

[Authors:] Robert [Bob] N. Low, James [Jim] H. Fleming, pg 26

An Economical, Portable Microwave Spectrum Analyzer. With a frequency range of 10 MHz to 21 GHz, a calibrated amplitude range of -111 to +30 dBm, and a dynamic range of 70 dB, this new spectrum analyzer has lab-grade performance yet is compact enough for field use, by Richard L. Belding, David H. Molinari, pg 27-32. 8559A.

[Authors:] Richard [Rick] L. Belding, Jr., David [Dave] H. Molinari, pg 32

April 1980 v.31 n.4

Cover: High-performance microwave counter products that test high-frequency equipment

Microwave CW and Pulse Frequency Measurements to 40 GHz. A new harmonic heterodyne frequency converter plug-in adds automatic 40-GHz frequency measurements to the universal capabilities of HP’s top counter, by Robert W. Offermann, Ronald E. Felsenstein, Richard F. Schneider, pg 3-14. 5355A.

A 400-to-1600-MHz ¸8 Prescaler. State-of-the-art technology went into its tiny amplifier, attenuator, and binary circuits, by Hans J. Jekat, pg 5-6. 5355A.

[Author:] Hans J. Jekat, pg 6

An Automatic Microwave Frequency Counter Test System to 40 GHz. Testing high-performance microwave counters isn’t a trivial task, but this system does it automatically, by Larry L. Koepke, pg 11-12

[Author:] Larry L. Koepke

[Authors:] Robert [Bob] W. Offermann, Richard [Dick] F. Schneider, Ronald [Ron] E. Felsenstein, pg 14

40-GHz Frequency Converter Heads. The heads down-convert microwave input signals to frequencies that are more easily transmitted over coaxial cables, by Mohamed M. Sayed, pg 14-19. 5356A.

40-GHz Synthesizer Tests Frequency Converter Heads, by Mohamed M. Sayed, pg 16-19. 5356A/B/C, 5355A..

[Author:] Mohamed M. Sayed, pg 19

A 26.5-GHz Automatic Frequency Counter with Enhanced Dynamic Range. A new sampler provides higher frequency coverage and 10 dB greater sensitivity than previous designs, by Ali Bologlu, pg 20-22. 5343A.

[Author:] Ali Bologlu, pg 22

Microwave Counter Applications. Radar, oscillator, and general high-frequency measurements are described, by Richard F. Schneider, pg 23-25

Laboratory Notebook: A Flexible Software Development Technique. If you have read-only memory to spare, you can use it to make changes in long-lead-time masked ROMs that you’ve already ordered, by Ronald E. Felsenstein, pg 25-26

May 1980 v.31 n.5

Cover: Model 3586A/B/C Selective Level Meters and Model 3336A/B/C Synthesizer/Level Generator

A Programmable Selective Level Meter (Wave Analyzer) with Synthesized Tuning, Autoranging and Automatic Calibration. Covering an input frequency range of 50 Hz to 32.5 MHz, this tuned voltmeter measures characteristics of both the voice channels and the multiplexed channels of FDM communications systems. An alternate version functions as a general-purpose wave analyzer, by Paul L. Thomas, pg 3-8. 3586A/B/C.

[Author:] Paul L. Thomas, pg 8

Precision Synthesizer/Level Generator has High Spectral Purity for Telecommunications Testing. Use it alone or as a tracking signal source for the 3586A/B/C Selective Level Meter. Three versions meet CCITT, North American, and general-purpose requirements, by Phillip D. Winslow, pg 9-13. 3336A/B/C.

On Carrier Return Loss Measurement, by Phillip D. Winslow, pg 10

A Monolithic Thermal Converter. Integrated circuit technology produces a low-cost, broadband, monolithic silicon thermal rms-to-dc converter, by Peter M. O’Neill, pg 12-13

[Author:] Peter [Pete] M. O’Neill, pg 12

[Author:] Phillip [Phil] D. Winslow, pg 13

Increased Versatility for a Versatile Logic State Analyzer. During analysis of program flow in a computer system, dynamically qualified multiphase clocking enables the Model 1610B to acquire for display all parts of an asynchronous transaction while excluding irrelevant events, by Justin S. Morrill, Jr., John D. Hansen, pg 14-18

[Authors:] John D. Hansen, Justin S. Morrill, Jr., pg 18

General-Purpose Module Adapts Dedicated Logic State Analyzer to Almost Any Microprocessor. Although conceived originally as a logic-state analyzer dedicated to particular microprocessors, the Model 1611A now has a general-purpose module that allows its to work with almost any microprocessor, by Deborah J. Ogden, pg 19-24

[Author:] Deborah [Debbie] J. Ogden, pg 24

June 1980 v.31 n.6

Cover: Model 3850A

Electronic Distance Measurement for Industrial and Scientific Applications. This new distance meter features a transducer mode in which it measures the distance to a moving target nine time per second for output to a computer or other controller, by David E. Smith, pg 3-11. 3850A, 38001A.

A Versatile Computer Interface for Electronic Distance Meters, by David Smith, pg 5

Dynamic Distance Meter Performance Testing, by Dean Buck, pg 8

[Author:] David [Dave] E. Smith, pg 11

Industrial Distance Meter Applications. Proportional and intelligent position control systems, dynamic monitoring and static monitoring are discussed, by David E. Smith, Troy L. Brown, pg 11-19. 3850A.

[Author:] Troy L. Brown, pg 18

Mass Storage Management – A Unified Approach. After several years of work on mass storage management software at HP’s Desktop Computer Division, a generalized philosophy has emerged, by William A. Hanna, pg 20-24

[Author:] William [Bill] A. Hanna, pg 24

July 1980 v.31 n.7

Cover: The HP-85, HP’s first personal computer

A New World of Personal/Professional Computation. Now, an inexpensive computer system with integral display, mass storage, hard copy, and graphics capability is available for personal use by the technical professional or first-time computer user, by Todd R. Lynch, pg 3-7. HP-85.

[Author:] Todd R. Lynch, pg 6

Adding I/O Capability to the HP-85. With the implementation of I/O features, the capabilities of a self-contained personal computer system are expandable to control instruments, add on more powerful peripherals and even talk to other computers, by John H. Nairn, David J. Sweetser, Tim I. Mikkelsen, pg 7-13

Using HP-85 I/O Capabilities, by Tim Mikkelsen, pg 13

[Authors:] Tim I. Mikkelsen, John N. Nairn, pg 13

[Author:] David [Dave] J. Sweetser, pg 14

A Compact Tape Transport Subassembly Designed for Reliability and Low Cost. New techniques in electrical and mechanical design were required, by Brian G. Spreadbury, Douglas J. Collins, pg 14-19. 98200A.

[Authors:] Douglas [Doug] J. Collins, Brian G. Spreadbury, pg 19

A High-Quality CRT Display for a Portable Computer. This compact CRT subassembly displays graphics data in addition to alphanumeric information, by James F. Bausch, pg 19-22. HP-85.

[Author:] James [Jim] F. Bausch, pg 22

A Compact Thermal Printer Designed for Integration into a Personal Computer. It can print program listings or output hard copies of displayed alphanumeric and graphics data, by Ronald W. Keil, Clement C. Lo, pg 22-26. HP-85.

An Efficient Power Supply for the HP-85, by Jim Bausch, pg 24

Enhanced BASIC Language for a Personal Computer. HP-85 BASIC has commands for plotting graphics data, using mass storage, and performing a wide variety of functions, by Nelson A. Mills, Homer C. Russell, Kent R. Henscheid, pg 26-32

[Authors:] Ronald [Ron] W. Keil, Clement C. Lo, pg 26

Random Number Generation, by Homer Russell, pg 28

Faster Integer Processing, by Homer Russell, pg 30

[Authors:] Nelson A. Mills, Kent R. Henscheid, Homer C. Russell, pg 32

August 1980 v.31 n.8

Cover: 8903A Audio Analyzer

A Complete Self-Contained Audio Measurement System. This automatic, autoranging audio analyzer has the signal source, distortion analyzer, and counter to make the measurements most often needed in audio-frequency testing, by James D. Foote, pg 3-17. 8903A.

Audio analyzer Applications, pg 6-7

Making the Most of a Microprocessor-Based Instrument Controller. In an audio analyzer, microprocessor control means automatic operation, “guaranteed” accurate measurements and extra features, by Corydon J. Boyan, pg 8-9. 8903A.

[Author:] Corydon [Cory] J. Boyan, pg 9

Design for a Low-Distortion, Fast-Settling Source. It’s based on a state-variable filter with refinements, by George D. Pontis, pg 10-11. 8903A.

Floating a Source Output. The floating output lets the user eliminate ground-loop errors, sum signals, and add dc offsets, by George D. Pontis, pg 12-13. 8903A.

[Author:] George D. Pontis, pg 13

A Digitally Tuned Notch Filter. It eliminates the fundamental frequency component of the incoming signal for distortion and noise measurements, by Chung Y. Lau, pg 14-15. 8903A.

[Author:] Chung Y. Lau, pg 15

[Author:] James [Jim] D. Foote, pg 17

A Custom LSI Approach to a Personal Computer. Nine HP-produced large-scale integrated circuits make the HP-85 possible, by Todd R. Lynch, pg 18-22

The HP-85 Software Development System, by Nelson A. Mills, pg 21

[Author:] Nelson A. Mills, pg 21

[Author:] Todd R. Lynch, pg 22

Handheld Calculator Evaluates Integrals. The HP-34C is the first handheld calculator to have a key that performs numerical integration almost automatically. It may change your attitude towards what used to be regarded as a dreary tedious task, by William M. Kahan, pg 23-32

[Author:] William M. Kahan, pg 32

September 1980 v.31 n.9

Cover: Model 5335A Universal Counter

A Fully Integrated, Microprocessor-Controlled Total Station. Here’s a new instrument that measures angles and distances, combines these readings, and yields true three-dimensional position information, by Alfred F. Gort, pg 3-11. 3820A.

Distance Correction for Variations in Air Temperature and Pressure, pg 9

Development of the 3820A, pg 10

[Author:] Alfred [Al] F. Gort, pg 10

Mechanical Design Constraints for a Total Station. Electronic position measurement accuracy isn’t usable without a stable frame and bearing system, by Ronald K. Kerschner, pg 12-14. 3820A.

[Author:] Ronald [Ron] K. Kerschner, pg 13

A Compact Optical System for Portable Distance and Angle Measurements. When the same optical system has to serve as both telescope and distance-meter optics, you have a lens design challenge, by David J. Sims, Charles E. Moore, pg 14-16. 3820A, Roelof’s Solar Prism Adaptor.

[Authors:] David [Dave] J. Sims, Charles E. Moore, pg 16

An Approach to Large-Scale Non-Contact Coordinate Measurements. How do you verify that a huge airplane is properly assembled?, by Douglas R. Johnson, pg 16-20. 3820A.

Aircraft Inspection, pg 17

Interfacing the 3820A via the HP-IB. The total station can communicate with computers for further processing of distance and angle information, by Gerald F. Wasinger, pg 18-19

[Author:] Gerald [Jerry] F. Wasinger, pg 19

Antenna Assembly, pg 19. 3820A.

A Deflection Measurement Example and Error Considerations, pg 20

[Author:] Douglas [Doug] R. Johnson, pg 20

Automatic Measurements with a High-Performance Universal Counter. Built-in calculating capability, automatic measurement routines, innovative trigger level controls and interpolators, and an optional DVM add up to a powerful, versatile measurement system, by Ronald C. Jensen, Gary D. Sasaki, pg 21-31. 5335A.

Third Input Extends Range to 1300 MHz. It’s a prescaler, but the mainframe’s interpolators prevent loss of resolution, by David M. Dipietro, pg 24- 25. 5335A.

[Author:] David [Dave] M. DiPietro, pg 24

A Voltmeter for a Universal Counter. Precision voltage-to-frequency converters with software error correction provide a systems voltmeter for little added cost, by Val D. McOmber, pg 28-29. 5335A.

[Author:] Val D. McOmber, pg 29

5335A Self Test and Diagnostics. Built-in checks boost user confidence and make troubleshooting easy, by Robert J. Lafollette, pg 30

[Author:] Robert [Bob] J. LaFollette, pg 30

[Authors:] Ronald [Ron] C. Jensen, Gary D. Sasaki, pg 31

A Low-Cost Universal Counter for Systems Applications, by Michael J. Ward, David M. George, pg 32. 5316A.

October 1980 v.31 n.10

Cover: Model 64000 Logic Development System consisting of a work station, disc drive and printer

Logic Development System Accelerates Microcomputer System Design. This expandable, flexible system offers a complete set of facilities for generating and debugging microprocessor-system hardware and software. It’s designed with next-generation VLSI circuits in mind, by Brian W. Kerr, Thomas A. Saponas, pg 3-12. 64000.

Resource Sharing in the Logic Development System. Here’s how six processors share as many as eight disc drives and a printer, by Alan J. DeVilbiss, pg 7-8

[Author:] Alan [Al] J. DeVilbiss, pg 8

64500 PROM Programmer, by Roger Cox, pg 9

64000 Command Parsing, pg 11

[Authors:] Brian W. Kerr, Thomas [Tom] A. Saponas, pg 12

Emulators for Microprocessor System Development. To the system under development the emulator looks like a microprocessor, yet the designer has complete analysis and debugging facilities, by Milo E. Muterspaugh, Gordon A. Greenley, James B. Donnelly, pg 13-20. 64000.

[Authors:] James [Jim] B. Donnelly, Gordon A. Greenly, Milo E. Muterspaugh, pg 20

The Pascal/64000 Compiler. The structured programming features of Pascal are augmented for microprocessor code development, by Jacques Gregori Bourque, Izagma I. Alonso-Velez, pg 20-27

Program Debugging with Pascal/64000. Expanded listings show the compiler output interleaved with the source statements to make it easy to trace execution, by P. Alan McDonley, pg 22-23

[Author:] P. Alan [Al] McDonley, pg 23

The 64000 Linker. table-driven architecture supports a variety of microprocessors, by James B. Stewart, pg 25-26

[Author:] James [Kip] B. Stewart, pg 26

[Authors:] Jacques Gregori [Greg] Bourque, Izagma I. Alonso-Velez, pg 27

An Assembler for all Microprocessors. In addition to generating code for standard microprocessors, this table-driven assembler allows the user to create an assembler for a custom chip, by Brad E. Yackle, pg 28-30. 64000.

[Author:] Brad E. Yackle, pg 29

Viewpoints: Chuck House on the Electronic Bench. VLSI will create both a need for new analysis and synthesis tools and a way to realize them, pg 30-32

[Author:] Charles [Chuck} H. House, pg 32

November 1980 v.31 n.11

Cover: Hewlett Packard 78500 Series Patient Information Centers

Patient Monitoring Enhanced by New Central Station. Multi-microprocessor architecture and a new integrated display concept provide more patient data, fewer false alarms, internal self-tests and extensive recording capabilities in an easy-to-operate system, by Larry L. Nielsen, Timothy B. Blancke, pg 3-11. 78500, 78501A, 78502A.

[Authors:] Timothy [Tim] B. Blancke, Larry L. Nielson, pg 10

High-Speed Raster Technique Provides Flexible Display. Smooth waveforms on a raster-scan CRT display are made possible by a combination of higher scan rate and beam width modulation, by Robert L. Stettiner, George L. Adleman, pg 11-15. 78500.

Basic Deflection Techniques, pg 12

[Authors:] George L. Adleman, Robert [Bob] L. Stettiner, pg 14

Sample Selection Filtering and the Bandwidth Problem, pg 14

Multi-Processor Architecture and Communications for Patient Monitoring. Four processors use three different communication links to share tasks and accomplish the overall monitoring system, by James M. Rueter, pg 15-18. 78500, 78501A, 78502A, 78511A.

[Author:] James [Jim] M. Rueter, pg 18

Self-Test and Serviceability for Dependable Central Patient Monitoring. This system automatically analyzes itself for failures, reconfigures its units to continue operating when one unit fails, and notifies the operator of any malfunction, by Jeffrey M. Goldberg, pg 19-23. 78500.

[Author:] Jeffrey [Jeff] M. Goldberg, pg 23

Firmware for a Patient Monitoring Station. A matched-filter design accurately analyzes electrocardiogram waveforms, by Kim L. Hanna, pg 23-28. 78500.

Critical Regions for Process Communication and Coordination, pg 28. 78500.

[Author:] Kim L. Hanna, pg 28

An Interactive HP 3000/IBM Mainframe Link. More than a 3270 emulator, IML/3000 provides high-level intrinsics for interactive data interchange, and an inquiry and development facility that makes HP 3000 system terminals look like terminals attached to the IBM mainframe, by Connie J. Ishida, pg 29-32. 32229A.

[Author:] Connie Jean Ishida, pg 32

December 1980 v.31 n.12

Cover: System 45C desktop computer

Color Enhances Computer Graphics System. The addition of a color CRT, extensive graphics control statements and faster vector generation to a desktop computer provides a comprehensive graphics system for the display and interpretation of complex data, by John B. Frost, William L. Hale, pg 3-5. 45C, 9845C.

[Authors:] Willaim [Bill] L. Hale, John [Jack] B. Frost, pg 5

The System 45C User’s Firmware Interface. New language statements provide easy input of graphical data and control of color parameters for generation of curves, shapes and shaded areas, by Robert W. Fredrickson, Robert A. Jewett, pg 6-9

[Authors:] Robert [Bob] A. Jewett, Robert [Bob] W. Fredrickson, pg 9

Light Pen Aids User Interaction with Display. Getting a light pen to work with a raster-scan display is not easy, first several physical limitations had to be overcome, by Frederick J. Porter, pg 10-19. 45C.

Index: Volume 31 January 1980 through December 1980. PART 1: Chronological Index, pg 15-16. PART 2: Subject Index, pg 16-17. PART 3: Model Number Index, pg 17-18. PART 4: Author Index, pg 18.

[Author:] Frederick [Fred] J. Porter, pg 19

A Precision Color Raster-Scan Display for Graphics Applications. These scanning circuit designs provide a color display free from distracting anomalies, by Warren C. Pratt, pg 19-24. 45C.

[Author:] Warren C. Pratt, pg 24

Display System Designed for Color Graphics. System 45C’s graphics architecture yields high-speed color picture generation with area shading, by Harold L. Baeverstad, Jr., Clark C. Bruderer, pg 25-32

System 45C Power Supply Considerations. A separate power supply for the color display provides for easy upgrading of earlier System 45 Computers, by J. Steven Becker, pg 28

[Author:] J. Steven [Steve] Becker, pg 28

Display Characteristics and Timing, pg 30

[Authors:] Clark C. Bruderer, Harold [Harry] L. Baeverstad, Jr., pg 30

1979 – HP Journal Index

January 1979 v.30 n.1

Cover: Model 5315A Universal Counter

A Low-Cost, Microprocessor-Based 100-MHz Universal Counter. A special integrated-circuit counter chip works with the microprocessor to give this reciprocal-taking counter a range of capabilities formerly found only at a much higher price. Flexible input amplifiers, a novel battery pack, and low radiated emissions are other features, by Karl M. Blankenship, Michael J. Ward, Lewis W. Masters, pg 2-11. 5315A, 5314A.

Lowest-Cost HP Universal Counter Developed using LSI and Manufacturing Innovations, by Michael D. Wilson, David M. George, pg 8-9

[Authors:] David M. George, Michael [Mike] D. Wilson, pg 9

[Authors:] Lewis [Lew] W. Masters, Karl M. Blankenship, Michael [Mike] J. Ward, pg 10

A High-Performance Bipolar LSI Counter Chip using EFL and I2L Circuits. This state-of-the-art multiple-register counter chip contains all of the circuits needed for a 100-MHz universal counter except for the display, input amplifiers, power supply and controller (microprocessor), by William D. Jackson, Bosco W. Wong, pg 12-17. MRC, multiple-register counter.

Emitter Function Logic, by Bosco W. Wong, pg 15. EFL.

[Authors:] Bosco W. Wong, William [Bill] D. Jackson, pg 17

A Synthesized Signal Source with Function Generator Capabilities. This fully programmable signal source spans 13 decades in frequency (1mHz to 21 MHz) with synthesizer accuracy, and produces sine waves, square waves, triangles, and ramps with synthesizer stability and swept-frequency convenience, by Stanley E. Froseth, Dan D. Danielson, pg 18-26. 3325A.

[Authors:] Stanley [Stan] L. Froseth, Dan D. Danielson, pg 26

Viewpoints: Paul Baird on Electronic Equipment Reliability, pg 27-28

[Author:] Paul Baird, pg 28

February 1979 v.30 n.2

Cover: Model 7225A Plotter

A High-Quality Digital X-Y Plotter Designed for Reliability, Flexibility and Low Cost. A new linear step motor design cuts costs and improves reliability without sacrificing line quality. Microprocessors and plug-in personality modules provide the flexibility, by Terry R. Cobb, John A. Fenoglio, Bessie W. C. Chin, pg 2-7. 7225A.

[Author:] Terry R. Cobb, pg 6

[Authors:] John A. Fenoglio, Bessie W. C. Chin, pg 7

Linear Step Motor Design Provides High Plotter Performance at Low Cost, by Robert L. Ciardella, Lung-Wen Tsai, pg 7-14

Developing a Low-Cost Electrostatic Chart-Hold Table, by Alec J. Babiarz, pg 10-11

[Author:] Alec J. Babiarz, pg 11

[Authors:] Robert [Bob] L. Ciardella, Lung-Wen Tsai, pg 13

Simple, Efficient Electronics for a Low-Cost X-Y Plotter, by Peter Chu, William G. Royce, pg 14-18. 7225A.

[Authors:] Peter Chu, William [Bill] G. Royce, pg 18

A Closed-Loop System for Smoothing and Matching Step Motor Responses, by Philip P. Maiorca, Norman H. MacNeil, pg 18-23

[Authors:] Philip [Phil] P. Maiorca, Norman [Norm] H. MacNeil, pg 23

Multi-Frequency LCR Meters Test Components under Realistic Conditions. Covering frequency ranges of 100 Hz to 100 kHz and 10 kHz to 10 MHz, these two new automatic LCR meters each provide up to twelve test signal frequencies, continuously variable test signal levels, and a wide choice of displayed parameters, by Kohichi Maeda, Yoh Narimatsu, pg 24-32. 4274A, 4275A.

[Authors:] Kohichi Maeda, Yoh Narimatsu, pg 31

Appendix: Effects of Test Leads and Test Fixtures on Measurement Accuracy, pg 31

March 1979 v.30 n.3

Cover: Two well-devised board test systems and their very effective software

Circuit-Board Testing: Cost-Effective Production Test and Troubleshooting. Two new printed-circuit-board test systems find faults in complicated circuit boards quickly and efficiently to help speed production throughput, by Peter S. Stone, John F. McDermid, pg 2-8. DTS-70, 9571A, 3060A.

[Authors:] Peter [Pete] S. Stone, John E. McDermid, pg 8

Rapid Digital Fault Isolation with FASTRACE, by William A. Groves, pg 8-13

[Author:] William [Bill] A. Groves, pg 13

Software Simulator Speeds Digital Board Test Generation, by Kenneth P. Parker, pg 13-18. DTS-70.

Virtual Memory for TESTAID and FASTRACE, by Douglas L. Baskins, pg 17-18

[Author:] Douglas [Doug] L. Baskins, pg 18

[Author:] Kenneth [Ken] P. Parker, pg 19

Analog In-Circuit Component Measurements: Problems and Solutions, by David T. Crook, pg 19-22

[Author:] David [Dave] T. Crook, pg 22

User-Oriented Software for an Automatic Circuit-Board Tester, by Ed O. Schlotzhauer, pg 22-27. 3060A.

Testing the Tester, by Roland H. Burger, John J. Ketchum, James M. Brown, Scott E. Woodward, pg 26

[Author:] Ed O. Schlotzhauer, pg 27

Hardware Design of an Automatic Circuit Board Tester, by Kamran Firooz, Brian M. Wood, David T. Crook, Roland H. Burger, Francis F. Fiedler, pg 27-32. 3060A.

Board Testing with Signature Analysis, by Kamran Firooz, pg 31

[Authors:] Brian M. Wood, Roland H. Burger, Francis L. Fiedler, Kamran Firooz, pg 32

April 1979 v.30 n.4

Cover: HP 250 Small-Business Computer

A Human-Engineered Small-Business Computer. This entry level, low-cost system offers the first-time user a self-contained computing facility with full data base management capability, by A. Peter Hamilton, pg 3-5. HP 250.

Human-Engineering the Small-Business Computer. How to design a computer so it doesn’t seem forbidding to the uninitiated operator, by Barry Mathis, pg 4-5

[Authors:] Barry Mathis, A. Peter [Pete] Hamilton, pg 5

Cost-Effective Electronics for the Small-Business Computer. The emphasis is on maximum performance for the price, along with reliability and safety, by V. DeLloy Forbes, Gerald L. Meyer, pg 6-14. HP 250.

HP 250 Input/Output System. The system has to be smart enough to power-up and run with minimum operator assistance, by Dennis L. Peery, pg 11-12

[Authors:] V. DeLloy Forbes, Gerald [Gerry] L. Meyer, pg 14

HP 250 BASIC: A Friendly, Interactive, Powerful System Language. All the standard features of HP Business BASIC plus an interactive CRT, by Dennis L. Peery, pg 14-19

[Author:] Dennis L. Peery, pg 19

Low-Cost Data Base Management. It’s similar to IMAGE/3000, with enhancements for a flexible-disc-based system, by Michael V. Hetrick, pg 19-25. IMAGE/250.

[Author:] Michael [Mike] V. Hetrick, pg 25

Applications Software for the Small-Business Computer. Packages for order management and materials management are available. Financial management is under development, by Loyd V. Nelson, Scott W. Y. Wang, pg 25-28. HP 250, OM/250.

[Authors:] Scott W. Y. Wang, Loyd F. Nelson, pg 28

Capacitance and Conductance Deep-Level Transient Spectroscopy Using HP-IB Instruments and a Desktop Computer. A low-cost system of standard components is a useful engineering tool for checking new semiconductor processes and devices during their development, by Leonard Forbes, Ulrich Kaempf, pg 29-32. 4271B.

[Authors:] Ulrich Kaempf, Leonard [Len] Forbes, pg 32

May 1979 v.30 n.5

Cover: Model 8160A Pulse Generator and the Model 9835A/B Desktop Computer (also known as System 35 of the 9800 Series)

A Precision, Programmable Pulse Generator. This 50-MHz instrument shortens setup times either on the bench or in automatic systems by generating pulses so accurately there is no need to interrupt a test to monitor the pulse waveform and make corrections, by Peter Aue, Werner Huttemann, Lutz Kristen, pg 3-10. 8160A.

[Authors:] Lutz Kristen, Werner Huttemann, Peter Aue, pg 10

Extending Possibilities in Desktop Computing. This midrange computer’s large memory capacity, two languages (enhanced BASIC and assembly language), low radiated interference, and powerful input/output facilities suit it especially well for computation, control, and data acquisition applications, by Sandy L. Chumbley, pg 11-13. 9835A/B.

[Author:] Sandy L. Chumbley, pg 12

Processor Enhancements Expand Memory. A new NMOS LSI chip and larger memory chips extend memory capacity, by Damon R. Ujvarosy, Dyke T. Shaffer, pg 13-15. 9835A/B.

[Authors:] Damon R. Ujvarosy, Dyke T. Shaffer, pg 15

Designing to Meet Electromagnetic Interference Requirements. Eliminating electromagnetic pollution is assuming increasing importance around the world, by John C. Becker, pg 16-17

[Author:] John C. Becker, pg 17

Assembly Programming Capability in a Desktop Computer. Although efficient, assembly languages aren’t usually easy to use but this one is, by Robert M. Hallissy, pg 18-20. 9835A/B.

[Author:] Robert [Bob] M. Hallissy, pg 20

June 1979 v.30 n.6

Cover: HP 300 Computer

A Business Computer for the 1980s. A totally new business-oriented design based on HP’s silicon-on-sapphire integrated circuit technology, this new system packs a vast amount of processing power into a surprisingly small package, by George R. Clark, pg 3-6. HP 300.

[Author:] George R. Clark, pg 5

The Integrated Display System and Terminal Access Method. The HP 300 handles up to 16 application terminals simultaneously. Its own display can act like several mini-displays at once, by James R. Groff, Eric P. L. Ha, pg 6-9

Nowait Input/Output, pg 9

[Authors:] James [Jim] R. Groff, Eric P. L. Ha, pg 9

Reducing the Cost of Program Development. It’s a compiler-based system, so run-time efficiency is high, but it has many of the conveniences of an interpreter-based system, by Frederick W. Clegg, pg 9-15. HP 300.

[Author:] Frederick [Fred] W. Clegg, pg 15

Managing Data: HP 300 Files and Data Bases. Choose one of seven different file structures or the IMAGE data base management system, by James R. Groff, Phillip N. Taylor, Alan T. Pare, pg 16-19

[Authors:] Philip [Phil] N. Taylor, Alan T. Pare, pg 19

An Easy-to-Use Report Generation Language. Templates on the screen take the place of RPG coding sheets, by Tu-Ting Cheng, Wendy Peikes, pg 20-23. Report Program Generator.

[Authors:] Wendy Peikes, Tu-Ting Cheng, pg 23

HP 300 Business BASIC. It’s specially designed as a versatile business applications language, by May Y. Kovalick, pg 23-26

[Author:] May Y. Kovalick, pg 26

Innovative Package Design Enhances HP 300 Effectiveness. Monocoque construction is the starting point and even the shipping container is novel, by David A. Horine, pg 26-30

A Novel Shipping Container, pg 28. HP 300.

[Author:] David [Dave] A. Horine, pg 30

World-Wide Regulatory Compliance, by Ronald E. Morgan, pg 30. HP 300.

July 1979 v.30 n.7

Cover: HP 300 Computer

Cost-Effective Hardware for a Compact Integrated Business Computer. CMOS/SOS technology helps reduce an eight-board processor to only two boards. Advanced architecture supports the features the user sees, by Arndt B. Bergh, Kenyon C. Y. Mei, pg 3-8. HP 300.

[Authors:] Arndt [Arne] B. Bergh, Kenyon C. Y. Mei, pg 8

A Computer Input/Output System Based on the HP Interface Bus. It’s designed to make it easy to add, delete and communicate with peripheral devices, by W. Gordon Matheson, pg 9-13. HP 300.

A Small, Low-Cost 12-Megabyte Fixed Disc Drive. A new Winchester-type disc was designed to meet the mass memory needs of the HP 300, by Richard L. Smith, pg 11. HP 300.

[Author:] Richard [Rich] L. Smith, pg 11

[Author:] W. Gordon Matheson, pg 12

An Innovative Programming and Operating Console. Windows and softkeys add new facets to the classical concept of interactive programming, by Alfred F. Knoll, Norman D. Marschke, pg 13-17. HP 300.

[Authors:] Alfred [Al] F. Knoll, Norman [Norm] D. Marschke, pg 16

AMIGO/300: A Friendly Operating System. An improved man/machine interface sometimes called friendliness, requires an advanced operating system, by Ralph L. Carpenter, pg 17-24. HP 300.

Configuring and Launching the AMIGO/300 System. System generation and startup are easier than they used to be, by James C. McCullough, Donald M. Wise, pg 20-21. HP 300.

[Authors:] James [Jim] C. McCullough, Donald [Don] M. Wise, pg 21

[Author:] Ralph L. Carpenter, pg 24

A Multiple-Output Switching Power Supply for Computer Applications. Designed for computer mainframes, this OEM power supply is an economical solution for the HP 300’s power requirements, by Thane Kriegel, Dilip A. Amin, pg 25-28. 63312F.

[Authors:] Dilip A. Amin, Thane [Tim] Kriegel, pg 28

August 1979 v.30 n.8

Cover: 8566A Microwave Spectrum Analyzer

New Performance Standards in Microwave Spectrum Analysis. Low-level microwave signals not previously identifiable with spectrum analyzers can be measured up to 22 GHz with the aid of this new analyzer’s low phase noise, 10-Hz bandwidth, and high sensitivity, by Siegfried H. Linkwitz, pg 3-7. 8566A.

[Author:] Siegfried H. Linkwitz, pg 7

Broadband Input Mixers for a Microwave Spectrum Analyzer. Inputs from 100 Hz to 22 GHz required new developments in front-end design, by John C. Lamy, Frank K. David, pg 8-13. 8566A.

Precision Assembly of a YIG-Tuned Mixer, by Lee Olmstead, pg 10-11

[Authors:] John C. Lamy, Frank K. David, pg 13

A Synthesized Microwave Local Oscillator with Continuous-Sweep Capability. 10-Hz resolution at 22 GHz requires synthesizer stability in the local oscillator but it also has to sweep smoothly, by Stephen T. Sparks, Kenneth L. Lange, Larry R. Martin, pg 13-19. 8566A.

Some Microprocessor Contributions to Spectrum Analyzer Performance, by Michael S. Marzalek, pg 15

A Precision Discriminator with a Controllable Slope, by Stephen T. Sparks, pg 17-18

 

[Authors:] Stephen [Steve] T. Sparks, Larry R. Martin, Kenneth [Ken] L. Lange, Michael [Mike] S. Marzalek, pg 19

A Digital Pattern Generator for Functional Testing of Bus-Oriented Digital Systems. Simple interfacing enables this flexible pattern generator to drive digital buses or other multichannel logic systems for functional testing with long digital sequences, by Gunter Riebesell, Bernd Moravek, Ulrich Hubner, pg 20-25. 8170A.

[Authors:] Ulrich Hubner, Bernd Moravek, Gunter Riebesell, pg 24

An HP-IB Extender for Distributed Instrument Systems. This instrument eliminates the 20-metre distance restriction for HP-IB systems, enabling local and remote groups of instruments to operate together, usually with no extra programming. Modems or twin-pair cable provide the communications medium, by David H. Guest, pg 26-32. 37201A.

A Comprehensive Approach to Automatic Troubleshooting, by Peter Roubaud, pg 29

Applying the 37201A HP-IB Extender, by Simon Murray, pg 31

[Author:] David H. Guest, pg 32

September 1979 v.30 n.9

Cover: HP 3000 Computer System – the Series 33

SOS Technology Yields Low-Cost HP 3000 Computer System. The new Series 33 is software compatible with the Series II and Series III, HP’s most powerful computer system. Thanks to silicon-on-sapphire technology, its cost is surprisingly low for HP 3000 performance, by Richard C. Edwards, pg 3-8

Adapting the Multiprogramming Executive to a New Hardware Environment. The new low-cost SOS hardware runs the same operating system and application programs as other HP 3000s, by Claude Robinson, Jr., pg 7-8

[Author:] Claude [Chuck] Robinson, Jr., pg 7

[Author:] Richard [Rich] C. Edwards, pg 8

A Friendly, Easy-to-Service Computer. The quiet, desk-like HP 3000 Series 33 fits easily into the office environment, by Manmohan Kohli, Yas Matsui, pg 9-12

[Authors:] Manmohan [ Manny] Kohli, Yas Matsui, pg 12

A Remote Computer Troubleshooting Facility. Problems can be investigated before a service person is sent to the site, by David L. Nelson, pg 13-16. HP 3000 Series 33.

Philosophy of HP 3000 Series 33 Diagnostics. A combination of self tests, the remote facility, and off-line diagnostics reduce down time, by James H. Holl, pg 15-16

[Author:] James [Jim] H. Holl, pg 15

[Author:] David [Dave] L. Nelson, pg 16

Controlling Electromagnetic Interference Generated by a Computer System. The HP 3000 Series 33 meets worldwide regulator agency requirements, by Daniel T. Y. Wong, pg 17-19

[Author:] Daniel [Danny] T. Y. Wong, pg 19

Automated Pulmonary Function Measurements. Controlled by a “friendly” desktop computer, a completely integrated pulmonary lab automatically makes ventilation, distribution, and diffusion measurements, calculates results, compares them to predicted normals, and prints reports including labeled graphs, by John L. Fanton, Maurice R. Blais, pg 20-24. 47804A/S.

Assuring Proper Pulmonary Test Procedures, pg 21

The Need for Pulmonary Function Measurements, pg 23

[Authors:] John L. Fanton, Maurice R. Blais, pg 24

Triggered X-Y Oscilloscope Displays. Using the trigger circuits to turn on the CRT beam only during the time interval of interest provides timing information and also eliminates unimportant detail from Lissajous patterns traced on an oscilloscope, by P. Guy Howard, pg 25-28. 1741A.

Capturing Randomly Occurring Oscilloscope Traces, pg 27

[Author:] P. Guy Howard, pg 28

October 1979 v.30 n.10

Cover: Model 5036A Microprocessor Lab

Microprocessor Lab Teaches Operation and Troubleshooting. This entry level course for home study or the classroom includes a microcomputer in a briefcase and a 20-lesson textbook, by Barry Bronson, Michael Slater, pg 3-8. 5036A.

[Authors:] Barry Bronson, Michael Slater, pg 8

An Economical Network Analyzer for the 4-to-1300-MHz Range. This compact, moderately-priced instrument has a built-in sweeping source and a two-channel receiver that enables simultaneous swept measurements of magnitude ratio and phase angle as well as measurements of absolute power and reflection coefficient, by James R. Zellers, pg 9-17. 8754A.

[Author:] James [Jim] R. Zellers, pg 17

Expanding Logic Analyzer Capabilities by Means of the HP-IB. Augmenting the power of a logic state/timing analyzer with a desktop computer gives automated testing capability along with display in user-definable assembly language, by Robert G. Wickliff, Jr., Richard A. Nygoard, Jr., pg 18-22. 1610A, 1615A.

[Authors:] Richard [Rick] A. Nygaard, Jr., Robert [Bob] G. Wickliff, Jr., pg 22

A Serial Data Analyzer for Locating Faults in Decentralized Digital Systems. Interfaced to the RS-232C (V.24) data communications bus, this instrument can monitor data traffic on the bus to help identify an operational problem. It can then assume an active role and substitute for the CPU, a terminal, a peripheral, or a modem to help isolate the problem, by Robert E. Erdmann, Jr., pg 23-28. 1640A.

[Author:] Robert [Bob] E. Erdmann, Jr., pg 27

November 1979 v.30 n.11

Cover: Model 8901A Modulation Analyzer

Precise, Convenient Analysis of Modulated Signals. This new modulation analyzer measures a signal’s frequency, power and modulation components with unprecedented accuracy in many measurements. It adds up to a new concept in RF analyzers, by Allen P. Edwards, pg 3-18. 8901A.

Frequency Range? Which One? pg 5

IF Filters for the 8901A Modulation Analyzer. They are designed for minimum distortion of the modulation being measured, by Andrew H. Naegeli, pg 10-11

[Author:] Andrew [Andy] H. Naegeli, pg 11

A New Type of FM Demodulator. It’s inherently linear, yet doesn’t have a significant noise mechanism, by Russell B. Riley, pg 13

[Author:] Russell [Russ] B. Riley, pg 13

[Author:] Allen P. Edwards, pg 18

Modulation Analyzer Applications. Examples of formerly difficult problems that the modulation analyzer solves easily, by Allen P. Edwards, pg 19-21. 8901A.

Assuring Accuracy in Modulation Measurements. The modulation analyzer is so accurate that new modulation standards had to be built to calibrate it, by Leslie E. Brubaker, pg 22-26

[Author:] Leslie [Les] E. Brubaker, pg 26

Interactive Modulation Analyzer Control. A microcomputer makes complicated decisions so the users doesn’t have to, by Paul J. Lingane, pg 26-29

[Author:] Paul J. Lingane, pg 29

Special Signal Source Tests Modulation Analyzer. If it’s more accurate than any signal generator, how do you test it?, by Leslie E. Brubaker, pg 30-32. 8901A, 11715A.

December 1979 v.30 n.12

Cover: New 12050A Fiber Optic HP-IB Link

High-Speed Fiber Optic Link Provides Reliable Real-Time HP-IB Extension. Remote instruments and peripherals can now communicate on the HP Interface Bus with a computer/controller up to 100 metres away. This new fiber optic link is fast and has exceptional immunity to severe industrial environments, by Robert B. Grady, pg 3-9. 12050A.

A Ready-to-Use Fiber Optic Link for Data Communications. The fiber optic part of the new HP-IB extender is a standard HP product, by Delon C. Hanson, pg 5-6

[Author:] Delon [Del] C. Hanson, pg 5

[Author:] Robert [Bob] B. Grady, pg 9

A Picoammeter with Built-in, Synchronized Voltage Sources. This new digital picoammeter makes measurements of small current with a resolution of 10-15 amperes, and it provides programmable voltage steps and measurement delays for automatic I-V measurements on semiconductors, insulation materials, capacitors, printed-circuit boards, and other components, by Hitoshi Noguchi, pg 10-19. 4140A.

Index: Volume 30 January 1979 through December 1979. PART 1: Chronological Index, pg 15-16. PART 2: Subject Index, pg 16-17. PART 3: Model Number Index, pg 18. PART 4: Author Index, pg 18.

[Author:] Hitoshi Noguchi, pg 19

Personal Calculator Has Key to Solve Any Equation f(x)=0. The HP-34C is the first handheld calculator to have a built-in numerical equation solver. That’s why one of its keys is labeled SOLVE, by William Kahan, pg 20-26

Why Is Equation Solving Provably Impossible? Pg 23

[Author:] William M. Kahan, pg 26

Viewpoints: Don Loughry on ANSI/IEEE Standard 488 and the HP Interface Bus, pg 27-28. HP-IB.

[Author:] Don Loughry, pg 28

Four Color Plotters Enhanced for Unattended Operation. A new automatic paper advance contributes to user convenience by advancing, cutting and stacking plots in selectable sizes, by Randy A. Coverstone, Majid Azmoon, Richard M. Kemplin, pg 29-32. 9872S, 7221S, 7220S.

[Authors:] Richard [Dick] M. Kemplin, Majid [Maj] Azmoon, Randy A. Coverstone, pg 32