1987 – HP Journal Index

January 1987 v.38 n.1

Cover: HP 3562A Analyzer

Low-Frequency Analyzer Combines Measurement Capability with Modeling and Analysis Tools. HP’s next-generation two-channel FFT analyzer can be used to model a measured network in a manner that simplifies further design, by Eric J. Wicklund, Michael L. Hall, Edward S. Atkinson, Gaylord L. Wahl, Jr., Steven K. Peterson, pg 4-16. 3562A.

Applications, pg 7

Measurement Modes and Digital Demodulation for a Low-Frequency Analyzer. Swept sine and linear and logarithmic resolution modes and demodulation using digital signal processing algorithms provide greater accuracy and measurement convenience, by Edward S. Atkinson, Ronald W. Potter, James A. Vasil, Raymond C. Blackham, pg 17-25. 3562A.

Demodulation Example, by Ronald W. Potter, pg 22-23

Analyzer Synthesizes Frequency Response of Linear Systems. Pole-zero, pole-residue, or polynomial models can be synthesized for comparison with measured responses of low-frequency filters and closed-loop control systems, by James L. Adcock, pg 25-32. 3562A.

Curve Fitter for Pole-Zero Analysis. This curve fitting algorithm can derive the poles and zeros of measured frequency responses, by James L. Adcock, pg 33-36. 3562A.

Authors January 1987: Gaylord L. Wahl, Jr., Michael [Mike] L. Hall, Edward [Sandy] S. Atkinson, Eric J. Wicklund, Steven [Steve] K. Peterson, Raymond [Ray] C. Blackham, Ronald [Ron] W. Potter, James A. Vasil, James [Jim] L. Adcock, James [Jim] R. Callister, Craig W. Pampeyan, pg 36-37

Performance Analysis of the HP 3000 Series 70 Hardware Cache. Measurements and modeling pointed the way to improved performance over the Series 68, by Craig W. Pampeyan, James R. Callister, pg 38-48

Measurement Tools, pg 39

The Series 70: Not Just a Cache, pg 40

How a Cache Works, pg 42

Realistic Cache Simulation, pg 45

February 1987 v.38 n.2

Cover: The detector assembly of the HP 81520A Optical Head

A New Family of Precise, Reliable, and Versatile Fiber Optic Measurement Instruments. The family members are an average power meter, two optical heads, three LED sources, two optical attenuators, and an optical switch, by Michael Fleischer-Reumann, pg 4-5. 8158B, 8154B, 8152A, 8159A, 81521B.

A Color-Coding Scheme for Fiber Optic Instruments and Accessories, pg 5. 81050BL.

Stable LED Sources for a Wide Range of Applications. Three models provide power at 850, 1300 and 1550 nm, by Michael Fleischer-Reumann, pg 6-8. 8154B.

An Accurate Two-Channel Optical Average Power Meter. Accuracy is as high as ±0.15dB. Resolution is 10-pW, by Horst Schweikardt, pg 8-11. 8152A.

Optical Power Meter Firmware Development. Objectives included a friendly operating concept and effective support for the hardware designers, by Bernhard Flade, Michael Goder, pg 12-15. 8152A.

Detectors for Optical Power Measurements. Silicon is best for short wavelengths only. Germanium has broader bandwidth, by Josef Becker, pg 16-21

Precision Optical Heads for 850 to 1700 and 450 to 1020 Nanometers. On-board calibration data and a precision optical interface contribute to accurate measurements, by Hans Huning, Michael Fleischer-Reumann, Siegmar Schmidt, Emmerich Muller, pg 22-27. 8152A, 81521B, 81520A.

Optical Power Splitter, by Siegmar Schmidt, pg 25

A High-Precision Optical Connector for Optical Test and Instrumentation. Key characteristics are reliability, long lifetime, repeatability, temperature stability and low insertion loss, by Wilhelm Radermacher, pg 28-30. Diamond HMS-10/HP.

Design Approach for a Programmable Optical Attenuator. A fiberless design makes the long-wavelength model suitable for both single-mode and multimode applications, by Michael Schlicker, Bernd Maisenbacher, Siegmar Schmidt, pg 31-35. 8158B.

A Programmable Fiber Optic Switch. Its main features are good repeatability and low insertion loss, by Michael Fleischer-Reumann, pg 36. 8159A.

Authors February 1987: Michael Fleischer-Reumann,  Horst Schweikardt, Michael Goder, Bernhard Flade, Josef [Jo] Becker, Johannes [Hans] Huning, Siegmar Schmidt, Emmerich Muller, Wilhelm Radermacher, Michael Schlicker, Bernd Maisenbacher, Louis [Lou] J. Salz, Gleen E. Elmore, pg 37-38

Quality Microwave Measurement of Packaged Active Devices. A special fixture, the HP 8510 Microwave Network Analyzer and the concept of de-embedding provide a solution to a formerly difficult measurement problem, by Louis J. Salz, Glenn E. Elmore, pg 39-48

HP 8510 Software Signal Processing, by Michael Neering, pg 47

March 1987 v.38 n.3

Cover: Model 840/Series 930 Processor, an 8M-byte memory module and a system monitor

Hardware Design of the First HP Precision Architecture Computers. The HP 3000 Series 930 and the HP 9000 Model 840 are implemented with commercial TTL logic, by Allan S. Yeh, Ross V. La Fetra, Edward M. Jacobs, David A. Fotland, Simin I. Boschma, William R. Bryg, John F. Shelton, pg 4-17

An Automated Test System for the First HP Precision Architecture Computers. Besides testing for proper operation, the system gathers specific failure information and generates summary statistics to be used in improving the manufacturing process, by Randy J. Teegarden, Long C. Chow, Thomas B. Wylegala, pg 18-20. HP 9000 Model 840, HP 3000 Series 930.

A Distributed Terminal Controller for HP Precision Architecture Computers Running the MPE XL Operating System. Up to 48 terminals or printers connected to each controller communicate with HP 3000 Series 930 or 950 Computers over an IEEE 802.3 local area network, by Olivier Krumeich, Eric Lecesne, Jean-Pierre Picq, Gregory F. Buchanan, Francois Gaullier, Heng V. Te, pg 21-28. 2345A.

Hewlett-Packard Precision Architecture Compiler Performance. Using a combination of simple instructions, optimized in-line code, and highly specialized Millicode routines, HP Precision Architecture machines perform many complex operations faster than CISC machines, by William B. Buzbee, Karl W. Pettis, pg 29-35

Authors March 1987: Edward [Ed] M. Jacobs, Ross V. La Fetra, Allan S. Yeh, William [Bill] R. Bryg, John F. Shelton, Simin I. Boschma, David [Dave] A. Fotland, Long C. Chow, Randy J. Teegarden, Thomas [Tom] B. Wylegala, Eric Lecesne, Heng V. Te, Jean-Pierre Picq, Gregory [Greg] F. Buchanan, Olivier Krumeich, Francois Gaullier, William [Bill] B. Buzbee, Karl W. Pettis, Zvonko Fazarinc, pg 35-37

Viewpoints: A Viewpoint on Calculus. Presented to the Mathematics Panel of the American Association for the Advancement of Science on April 5, 1986: Should infinitesimal calculus be taught at all?, by Zvonko Fazarinc, pg 38-40

See Also: Reader Forum: Letter from Alain Maruari regarding “A Viewpoint on Calculus”;  letter from author Zvonko Fazarinc in response, page 22-23 in the June 1987 issue

April 1987 v.38 n.4

Cover: Finite element analysis of a printed circuit board subpanel

Digital Signal Generator Combines Digital and Analog Worlds. This new generator provides 24 parallel or two serial data channels, two arbitrary waveform analog channels, or a combination of digital and analog outputs, by Michael Vogt, Friedhelm Brilhaus, Frank Husfeld, Uwe Neumann, pg 4-12. 8175A.

User Interface and Software Architecture for a Data and Arbitrary Waveform Generator. Timing diagrams and arbitrary waveforms are easily created by means of a powerful graphic editor, by Wolfgang Srok, Rudiger Kreiser, Ulrich Hakenjos, pg 12-20. 8175A.

A Planning Solution for the Semiconductor Industry. Semiconductor device manufacturing has several situations that complicate normal production scheduling and medium-range planning. PL-10, part of HP’s Semiconductor Productivity Network, was developed to deal with these peculiarities, by Clemen Jue, Kelly A. Sznaider, Edward L. Wilson, pg 21-27

Authors April 1987: Uwe Neumann, Frank Husfeld, Michael Vogt, Friedhelm Brilhaus, Wolfgang Srok, Rudiger Kreiser, Ulrich Hakenjos, Clemen Jue, Edward [Ed] L. Wilson, Kelly A. Sznaider, John H. Lau, George E. Barrett, Duane E. Wolting, H. Dean Drake, pg 27-28

A Study of Panel Deflection of Partially Routed Printed Circuit Boards. Finite element analysis was used to show that the stress and deflection of partially routed boards during handling will be within allowable limits, by George E. Barrett, John H. Lau, pg 29-34

Deflections, Forces, and Moments of a Printed Circuit Board, pg 33-34

Reliability Theory Applied to Software Testing. The execution-time theory of software reliability is extended to the software testing process by introduction of an accelerating factor. It is shown that the accelerating factor can be determined from repair data and used to make prerelease estimates of software reliability for similar products, by H. Dean Drake, Duane E. Wolting, pg 35-39

Reader Forum: Letter from Yoav Talgam regarding “Hewlett-Packard Precision Architecture: The Processor”, page 4 in the August 1986 issue; letter from Michael J. Mahon regarding RISC-style processor architecture, pg 40

May 1987 v.38 n.5

Cover: HP DesignCenter Mechanical Engineering Series 5 and Series 10 systems

State-of-the-Art CAD Workstations for Mechanical Design. Part of HP’s DesignCenter, the ME Series 5/10 workstations simplify the creation of part drawings and the design of mechanical assemblies. A shared data bases improves communication among designers on a project and the results can be formatted automatically for use by NC manufacturing machinery, by Heinz P. Arndt, Dieter Sommer, Karl-Heinz Werner, Dieter Deyke, Wolfgang Kurz, pg 4-15. Mechanical Engineering.

ME Series 10 Link to HP-FE, by Guenter Voss, pg 14

The ME Series 10 NC Links, by Berthold Hug, pg 15

ME CAD Geometry Construction, Dimensioning, Hatching and Part Structuring. Construction lines and circles aid layout, and dimensioning and hatching adapt automatically to part design changes, by Friedhelm Ottliczky, Harold B. Prince, Heinz Diebel, Karl-Heinz Werner, Stephen Yie, pg 16-29. Mechanical Engineering.

Alpha Site Evaluation of ME Series 5/10. The best way to evaluate a CAD system is to design a real product with it, by Paul Harmon, pg 30-33. Mechanical Engineering, ME Series 10.

Authors May 1987: Karl-Heinz Werner, Dieter Sommer, Dieter Deyke, Wolfgang Kurz, Keinz P. Arndt, Harold [Hal] B. Prince, Friedhelm M. Ottliczky, Stephen Yie, Heinz Diebel, Paul Harmon, Robert [Bob] A. Piety, pg 34

Intrabuilding Data Transmission using Power-Line Wiring. An investigation of the transfer and noise characteristics of intrabuilding power lines has indicated the feasibility of their use for local data communication at data rates greater than 100kbits/s. Within certain constraints, data rates of 1Mbits/s or greater are possible. This paper discusses typical power-line characteristics in the 1-to-20-MHz region and one implementation of a 100-kbits/s spread spectrum data link operating in the 3.5-to-10.5-MHz range, by Robert A. Piety, pg 35-40. Carrier-current communication.

June 1987 v.38 n.6

Cover: HP 45911A Graphics Tablet

Permuted Trace Ordering Allows Low-Cost, High-Resolution Graphics Input. A scheme that substantially reduces the number of trace drivers required provides an inexpensive, but high-performance graphics tablet for HP’s HP-HIL family, by Thomas Malzbender, pg 4-7. Human Interface Link, 45911A.

The Hewlett-Packard Human Interface Link. Connecting human-input devices to personal computers and workstations is simplified by the definition of an interface link that adapts to the devices on the link and allows them to be added or disconnected during operation, by Robert R. Starr, pg 8-12. HP-HIL.

HP-HIL Input Devices, pg 9

Software Verification Using Branch Analysis. Imposing branch coverage requirements on a software testing project can be counterproductive unless a comprehensive branch analysis methodology is followed, by Daniel E. Herington, Paul A. Nichols, Roger D. Lipp, pg 13-22

Advantages of Code Inspections, by Dan Herington, pg 21

Reader Forum: Letter from Alain Maruari regarding “A Viewpoint on Calculus”, page 38 in the March 1987 issue;  letter from author Zvonko Fazarinc in response, pg 22-23

Authors June 1987: Thomas [Tom] Malzbender, Robert [Rob] R. Starr, Paul A. Nichols, Daniel [Dan] Herington, Roger D. Lipp, Yoshio Nishi, Marvin [Marv] L. Watkins, pg 23

Viewpoints: Direction of VLSI CMOS Technology. Will CMOS ICs be the technology driver of the future?, by Yoshio Nishi, pg 24-25

Software Architecture and the UNIX Operating System: An Introduction to Interprocess Communication. Signals, pipes, shared memory, and message queues are some of the facilities provided by the UNIXâ operating system for communication among software modules. The strength and weaknesses of each facility are discussed, by Marvin L. Watkins, pg 26-36

Benchmarking UNIX IPC Facilities, pg 31

July 1987 v.38 n.7

Cover: HP 3709A Constellation Display

Dedicated Display Monitors Digital Radio Patterns. One way of displaying the complex waveforms generated in digital radio systems is the constellation display, a method that allows rapid visual evaluation of a system’s performance, by John R. Pottinger, Murdo J. McKissock, David J. Haworth, pg 4-13. 3709A.

Automated Timing Jitter Testing, by David Robertson, pg 11

Constellation Measurement: A Tool for Evaluating Digital Radio. Statistical analysis of displayed constellation clusters helps determine digital radio impairments, by Murdo J. McKissock, pg 13-17. 3709A.

Authors July 1987: John R. Pottinger, David J. Haworth, Murdo J. McKissock, Geoffrey [Geoff] Waters, Anthony [Tony] Lymer, Dayananda [Daya] K. Rasaratnam, John A. Duff, pg 18

A Digital Radio Noise and Interference Test Set. This instrument facilitates the measurement of the bit error ratio (BER) for a digital communication system under simulated path fade conditions. A desired C/N or C/I ratio can be established and maintained in the presence of received radio signal variations, by Geoffrey Waters, pg 19-26. 3708A.

Noise Crest Factor Enhancement, by Dayananda K. Rasaratnam, pg 22

Noise Bandwidth Measurement, by Ian M. Matthews, pg 23

Microprocessor-Enhanced Performance in an Analog Power Meter. A custom thermal converter and an autozero circuit are key design components, by Anthony Lymer, pg 26-30. 3708A.

An Accurate Wideband Noise Generator and a High-Stability Reference Source. These modules make it possible to adjust the injected noise level automatically to maintain a desired carrier-to-noise ratio, by Dayananda K. Rasaratnam, pg 30-36. 3708A.

General-Purpose Wideband Thick-Film Hybrid Amplifier, by Geoffrey Waters, pg 33-34

Automated Radio Testing Shortens Test Time and Enhances Accuracy. This system can reduce the time required to measure flat fade performance by 60 to 90 percent, by John A. Duff, pg 36-40. 3708A, 3708S.

A Reusable Screen Forms Package, pg 38-39

August 1987 v.38 n.8

Cover: HPL-18C Business Consultant and the HP-28C Scientific Professional Calculator

A Handheld Business Consultant. The latest model in HP’s line of calculators designed for business and financial applications features a menu-driven user interface for selecting any of its many built-in functions or custom equations entered by the user, by Susan L. Wechsler, pg 4-9. HP-18C.

Cash Flow Analysis Using the HP-18C, pg 7

The Equation Solver Menu in the HP-18C, by Paul Swadener, pg 8-9

History and Inspiration of the Solve Interface, by Chris M. Bunsen, pg 10

An Evolutionary RPN Calculator for Technical Professionals. Symbolic algebraic entry, an indefinite operation stack size, and a variety of data types are some of the advancements in HP’s latest scientific calculator, by William C. Wickes, pg 11-17. HP-28C.

HP-28C Plotting, by Gabe L. Eisenstein, pg 15

Mechanical Design of the HP-18C and HP-28C Handheld Calculators. A folding case and two keyboards enhance functionality while reducing label clutter, by Mark A. Smith, Judith A. Layman, pg 17-20

Symbolic Computation for Handheld Calculators. A special operating system was developed to allow processing of a variety of data types from simple numbers to alphanumeric expressions, by Charles M. Patton, pg 21-25. ROM-based procedural language, RPL.

A Multichip Hybrid Printed Circuit Board for Advanced Handheld Calculators. All of the electronics and the display are mounted on a single 1.5-inch by 3-inch board, by Paul R. Van Loan, Robert E. Dunlap, Cornelis D. Hoekstra, Bruce R. Hauge, Chong Num Kwee, pg 25-30. HP-18C, HP-28C.

An Equation Solver for a Handheld Calculator. A combination of direct and iterative solving algorithms is used, by Paul J. McClellan, pg 30-34. HP-18C, HP-28C.

Electronic Design of an Advanced Technical Handheld Calculator. Custom CPU, ROM, and display driver ICs are key elements, by Preston D. Brown, Megha Shyam, Gregory J. May, pg 34-39. HP-28C.

Authors August 1987: Susan L. Wechsler, William [Bill] C. Wickes, Judith [Judi] A. Layman, Mark A. Smith, Charles [Charlie] M. Patton, Chong Num Kwee, Cornelis [Casey] D. Hoekstra, Robert [Bob] E. Dunlap, Bruce R. Hauge, Paul R. Van Loan, Paul J. McClellan, Megha Shyam, Preston D. Brown, Gregory [Greg] J. May, pg 39-40

September 1987 v.38 n.9

Cover: Processor boards from the HP 9000 Model 825 Computer (smaller board) and the HP 9000 Model 850S/HP 3000 Series 950 (larger board) and an unmounted pin-grid array package housing an NMOS-III VLSI chip

A VLSI Processor for HP Precision Architecture. The processor uses a set of ten custom VLSI chips fabricated in HP’s high performance NMOS-III technology, by Darius F. Tanksalvala, Steven T. Mangelsdorf, Darrell M. Burns, Paul K. French, Charles R. Headrick, pg 4-11

Pin-Grid Array VLSI Packaging, by John E. Moffatt, Asad Aziz, pg 10-11

HP Precision Architecture NMOS-III Single-Chip CPU. The chip implements all 140 of the architecture’s instructions on an 8.4-mm-square die containing 115,000 transistors, by Eric R. Delano, Jeffry D. Yetter, Mark A. Forsyth, William S. Jaffe, Jonathan P. Lotz, pg 12-18

Execution Unit, by Jeffry D. Yetter, pg 13-14

A Precision Clocking System, by William S. Jaffe, pg 17

Design, Verification, and Test Methodology for a VLSI Chip Set. Delivering ten complex chips concurrently takes more than a casual approach to organization and planning, by Tony W. Gaddis, Daniel L. Halperin, Stephen R. Undy, Charles Kohlhardt, Robert A. Schuchard, pg 18-26.

VLSI Test Methodology, by Don Weiss, pg 24-25

A Midrange VLSI Hewlett-Packard Precision Architecture Computer. It’s designed for mechanical and electrical computer-aided design, computer integrated manufacturing, real-time control, and general-purpose technical applications, by Robert J. Horning, Howell R. Felsenthal, Russell W. Mason, Thomas O. Meyer, Mark A. Ludwig, Thomas V. Spencer, Leith Johnson, Craig S. Robinson, pg 26-34. HP 9000 Model 825.

[Authors:] Darius F. Tanksalvala, Steven [Steve] T. Mangelsdorf, Charles [Bob] R. Headrick, Paul K. French, Darrell M. Burns, Eric R. DeLano, Jeffry [Jeff] D. Yetter, Mark A. Forsyth, Jonathan [Jon] P. Lotz, William [Bill] S. Jaffe, Stephen [Steve] R. Undy, Robert [Bob] A. Schuchard, Tony W. Gaddis, Charles [Charlie] Kohlhardt, Daniel [Dan] L. Halperin, Thomas [Tom] O. Meyer, Howell R. Felsenthal, Thomas [Tom] V. Spencer, Mark A. Ludwig, Russell [Russ] W. Mason, Robert [Bob] J. Horning, Craig S. Robinson, Leith Johnson, Richard Chin, Gerald [Gerry] R. Gassman, Marlin Jones, Ayee Goundan, Robert [Bob] D. Odineal, Michael [Mike] W. Schrempp, pg 35-37

VLSI-Based High-Performance HP Precision Architecture Computers. The same system processing unit powers two computer systems, one running the MPE XL operating system for commercial data processing and one running the HP-UX operating system for technical and real-time applications, by Robert D. Odineal, Marlin Jones, Ayee Goundan, Michael W. Schrempp, Gerald R. Gassman, Richard Chin, pg 38-48. HP 9000 Model 850S, HP 3000 Series 950.

October 1987 v.38 n.10

Cover: A CAD system simulation of the 82240A printer’s paper door rotation

In-service Transmission Impairment Testing of Voice-Frequency Data Circuits. This transmission impairment measuring set operates on the live modem signal instead of special test signals. It warns of problems while the channel is in service, so preventive maintenance can be scheduled, by Norman Carder, W. Gordon Rhind, James H. Elliott, David W. Grieve, William I. Dunn, pg 4-15. 4948A.

Processing Passband Signals in Baseband, pg 11

LMS Algorithm for Equalizer Update, pg 13

Digital Phase-Locked Loops, pg 15

An Infrared Link for Low-Cost Calculators and Printers. Since the sender of this unidirectional link gets no feedback from the receiver, allowances must be made for worst-case conditions, by Robert S. Worsley, Bruce A. Stephens, Steven L. Harper, pg 16-21.

A Low-Cost Wireless Portable Printer. Based on a unidirectional infrared transmission path, this small thermal printer can provide hard copy of HP-18C and HP-28C calculations, by David L. Smith, Masahiko Muranami, pg 21-23. 82240A.

Manufacturing State-of-the-Art Handheld Calculators. Robots and special fixturing help keep costs low, by Richard W. Riper, pg 24-27. HP-18C, HP-28C.

Information Technology and Medical Education. This paper discusses the use of information technology in an experimental curriculum at Harvard Medical School and describes several of the computer-based educational modules that have been developed for the program, by Ethan A. Foster, Gordon T. Moore, M.D., G. Octo Barnett, M.D., Judith L. Piggins, pg 28-35. Harvard Medical School.

Authors October 1987: Norman Carder, William [Ian] I. Dunn, David W. Grieve, James [Jim]  H. Elliott, W. Gordon Rhind, Robert [Bob] S. Worsley, Bruce A. Stephens, Steven [Steve] L. Harper, Masahiko [Jack] Muranami, David [Dave] L. Smith, Richard [Rick] W. Riper, G. Octo Barnett, Gordon T. Moore, Judith [Judy] L. Piggins, Ethan A. Foster, Robin M. Gallimore, Derek Coleman, pg 35-36

A Framework for Program Development. This paper present a framework for recording a software design activity as a directed acyclic design graph, where each node denotes a fragment of the design and each arrow represents some kind of design decision that has been made, by Robin M. Gallimore, Derek Coleman, pg 37-40. Framework for Program Development, FPD.

November 1987 – no issue published. As of December 1987, the Hewlett-Packard Journal will be published six times a year, in December, February, April, June, August and October.

December 1987 v.38 n.11

First bimonthly issue. As of December 1987, the Hewlett-Packard Journal will be published six times a year, in December, February, April, June, August and October.

Cover: A three-dimensional model of a type of signal used in modern radar systems – the frequency chirp

Vector Signal Generation and Analysis. This issue contain several articles describing the development of the HP 8780A Vector Signal Generator and the HP 8980A Vector Analyzer. To appreciate the nature of these products it is useful to discuss some of the concepts of vector modulation and its applications, by Allen P. Edwards, pg 4-5

Hardware System Design for a Vector Analyzer, by Andrew H. Naegeli, Juan Grau, pg 6-17. 8980A.

Quadrature and Phase Errors in Vector Demodulation, by Juan Grau, pg 15

Firmware System Design for a Vector Analyzer, by Stanley P. Woods, Brian S. Messenger, Peter H. Fisher, pg 17-24. 8980A.

Vector Modulation in a Signal Generator. The HP 8780A offers a wide variety of modulation in both analog and digital formats. By combining the different modulation types, diverse signals such as Doppler-shifted QPSK for satellite communication can be simulated, by David L. Gildea, Donald R. Chambers, pg 25-29

Firmware for a Vector Signal Generator, by Eric D. McHenry, James E. Jensen, pg 30-33. 8780A.

Low-Noise Synthesizer Design, by Thomas J. Carey, John C. Lovell, Thomas L. Grisell, pg 34-38. 8780A.

Digital and Vector Baseband Circuits for a Vector Signal Generator. By using a vector modulator instead of conventional amplitude and frequency modulators, the HP 8780A has the ability to generate complex digital and vector modulations. The baseband system is vastly different from those found in conventional signal generators. This system also provides the instrument with some special features, by Chung Y. Lau, pg 39-44

A GaAs IC Current Switch, pg 41

Describing Signals in the I-Q Domain, pg 42-43

A Wideband FM Subsystem for a Low-Noise Synthesizer Module, by Eric D. McHenry, pg 45-48. 8780A.

Vector Modulator, Output Amplifier, and Multiplier Chain Assemblies for a Vector Signal Generator, by Mark J. Woodward, Eric B. Rodal, Wayne M. Kelly, Pedro A. Szente, James D. McVey, pg 48-52. 8780A.

Baseband Calibration, by Paul S. Stafford, pg 50

A Combinational Board Test System. The HP 3065AT Tester provides a completely integrated set of resources for testing analog, hybrid, and digital circuits incorporating surface mounted devices, application specific ICs and VLSI circuits, by Michael E. Gravitz, pg 53-64

Interactive Graphical Debugging Package, by George Booth, pg 58-59

Authors December 1987: Allen P. Edwards, Juan Grau, Andrew [Andy] H. Naegeli, Stanley [Stan] P. Woods, Brian S. Messenger, Peter H. Fisher, David [Dave] R. Gildea, Donald [Don] R. Chambers, James [Jim] E. Jensen, John C. Lovell, Thomas [Tim] [sic] J. Carey, Thomas [Tom] L. Grisell, Chung Y. Lau, Eric D. McHenry, Pedro [Pete] A. Szente, Eric B. Rodal, Mark J. Woodward, James [Jim] D. McVey, Wayne M. Kelly, Michael [Mike] E. Gravitz, Alan J. Kondoff, John R. Busch, Darryl Ouye, Keith Keilman, Daniel [Dan] J. Magenheimer, Arndt [Arne] B. Bergh, James [Jim] A. Miller, pg 65-67

MPE XL: The Operating System for HP’s Next Generation of Commercial Computer Systems. MPE XL is a new commercial operating system developed for HP Precision Architecture computer systems. It provides fundamental advances in operating system technology and helps users migrate to the new systems by providing maximum compatibility with existing systems, by Darryl Ouye, John R. Busch, Alan J. Kondoff, pg 68-86

HP 3000 Emulation on HP Precision Architecture Computers. Two software subsystems for HP Precision Architecture machines provide program execution that duplicates that of HP 3000s running the MPE V operating system, by Daniel J. Magenheimer, Arndt B. Bergh, Keith Keilman, James A. Miller, pg 87-89

Index: Volume 38 January 1987 through December 1987. PART 1: Chronological Index, pg 90-91. PART 2: Subject Index, pg 91-94. PART 3: Product Index, pg 95. PART 4: Author Index, pg 95-96.